数字系统设计中vhdl的应用及实例分析

数字系统设计中vhdl的应用及实例分析

ID:34615310

大小:200.69 KB

页数:4页

时间:2019-03-08

数字系统设计中vhdl的应用及实例分析_第1页
数字系统设计中vhdl的应用及实例分析_第2页
数字系统设计中vhdl的应用及实例分析_第3页
数字系统设计中vhdl的应用及实例分析_第4页
资源描述:

《数字系统设计中vhdl的应用及实例分析》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第23卷第6期江西科学Vol.23No.62005年12月JIANGXISCIENCEDec.2005文章编号:1001-3679(2005)06-0784-04数字系统设计中VHDL的应用及实例分析12蔡志健,丁爱萍(11江西省儿童医院,江西南昌330006;21江西省南昌市水文局,江西南昌330006)摘要:硬件描述语言在深亚微米复杂数字系统的设计中具有独特的作用。利用硬件描述语言中的工业标准语言VHDL,设计了高速图像采集系统的硬件结构及工作原理,讲述FPGA在图像采集与数据存储部分的VHDL模块设计,给出采集同

2、步模块的VHDL源程序。结果表明,VHDL在硬件设计上是非常有效的,在数字电子电路的设计中具有硬件描述能力强、设计方法灵活等优点。关键词:VHDL;电子设计自动化;图像采集;FPGA;PCI中图分类号:TP319文献标识码:ATheApplicationoftheArithmeticFigureSystemDesignInsideVHDLandSolidanAnalysis12CAIZhi-jian,DINGAi-ping(1.JiangxiProvincialChildren'sHospital,JiangxiNan

3、chang330006PRC;2.NanchangCityHydrologyBureau,JiangxiNanchang330006RPC)Abstract:Thehardwaredescribesthelanguagetohavethespecialfunctioninthedesignofthedeepsecondmicroncomplicacyarithmeticfiguresystem.Makinguseofthehardwaredescribestheindus2tryinthelanguagestandar

4、dlanguageVHDL,designingthesuper-speedpicturecollecthardwareconstructionandworkprinciplesofthesystems,relatingtheFPGAtocollecttosavewithdatainthepicturepartofVHDLmoldpiecedesign,collectsynchronoustheVHDLsourceprocedureofthemoldpiece.Asaresultexpress,theVHDLhasinh

5、ardwaredesignascendisveryvalidlyof,designina2rithmeticfigureelectronicselectriccircuit,thehardwaredescribestheabilitystrong,vividetc.inmethodindesignadvantage.Keywords:VHDL,Electronicsdesignautomates,Picturecollects,FPGA,PCI近年来,随着计算机技术和半导体技术的发利用硬件描述语言VHDL,数字电路系统

6、可展,传统的硬件电路设计方法已大大落后于当今从系统行为级、寄存器传输级和门级三个不同层技术的发展。一种崭新的、采用硬件描述语言的次进行设计,即上层到下层(从抽象到具体)逐层硬件电路设计方法已经兴起,硬件描述语言是电描述自己的设计思想,用一系列分层次的模块来子设计自动化(EDA)领域的一次重大变革。目表示极其复杂的数字系统。然后,利用电子设计前,广泛使用的硬件描述语言有VHDL和Verilog自动化(EDA)工具,逐层进行仿真验证,再把其HDL,它们先后被批准为国际标准语言。中需要变为实际电路的模块组合,经过自动综合收稿

7、日期:2005-06-20;修订日期:2005-10-09作者简介:蔡志健(1967-),男,浙江宁波人,从事通信网络的设计、管理工作。第6期蔡志健等:数字系统设计中VHDL的应用及实例分析·785·工具转换到门级电路网表。接着,再用专用集成求日益提高。传统的图像采集卡速度慢、处理功电路(ASIC)或现场可编程门阵列(FPGA)自动布能简单,不能很好地满足特殊要求,因此,构建了局布线工具,把网表转换为要实现的具体电路布高速图像采集系统。它主要包括图像采集模块、线结构。目前,这种高层次设计方法已被广泛采图像低级处理模块以

8、及总线接口模块等。这些模用。据统计,目前在美国硅谷约有90%以上的块是在FPGA中利用VHDL编程实现的。高速图ASIC和FPGA采用硬件描述语言进行设计。像采集系统主要用于视觉检测。视觉检测中图像VHDL的应用已成为当今以及未来EDA解决方处理的特点是:底层图像处理数据量大,算法简案的核心,而且是复杂数字系统设计的核心。单;高层

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。