EDA技术与应用试验指导书2016版.doc

EDA技术与应用试验指导书2016版.doc

ID:59255685

大小:191.00 KB

页数:20页

时间:2020-09-08

EDA技术与应用试验指导书2016版.doc_第1页
EDA技术与应用试验指导书2016版.doc_第2页
EDA技术与应用试验指导书2016版.doc_第3页
EDA技术与应用试验指导书2016版.doc_第4页
EDA技术与应用试验指导书2016版.doc_第5页
资源描述:

《EDA技术与应用试验指导书2016版.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验一全加器设计仿真与下载四.实验内容及操作步骤1.设计一位全加器(1)完成电路的输入,以及对引脚的命名等(参考电路如图2-1)。(2)对一位全加器进行编译、仿真与下载。(3)点击File菜单的CreateDefaultSymbol项,创建缺省模块。2.利用一位全加器模块进行四位全加器的设计。(1)创建一个新的项目,新建文件。在新打开的图形编辑区双击左键,从EnterSymbol对话框中的用户目录(自创目录)下选择模块名。(2)连接线路,并进行编译(如图2-2)。(3)点击Assign菜单的Device项选择芯片。(4)管脚分配。

2、(5)后编译,并进行下载。观察实验结果。实验二分频扫描与计数器设计4.四.实验内容与步骤1.设计一个分频电路已知cpld/fpga信号源脉冲频率为50M,试编写一分频程序,得到一周期为1秒(频率为1Hz)的脉冲频率,并将之形成include文件。(1)vhdl设计输入参考程序libraryieee;--调用库useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityfpis-----实体说明(实体名需与文件名一致)port(inclk:instd_logic;-

3、-----端口说明outputa:outstd_logic);endfp;architecturearch_fpoffpis------构造体说明signalfp:std_logic_vector(24downto0);------信号定义signalf:std_logic;beginprocess(inclk)------进程语句描述beginif(inclk'eventandinclk='1')then------将时钟分频至1Hziffp=thenfp<="000";f<=notf;elsefp<=fp+1;endif;en

4、dif;endprocess;outputa<=f;endarch_fp;-------构造体结束(2)编译与仿真。要求:自己设计,试用VHDL编写一10分频程序,并创建include文件。2.12归1电路设计(1)创建一个新的项目。点击File→Project→ProjectName项;输入项目名称。(2)打开文本编辑窗口。点击File菜单下New项,选TextEditor项。(3)时钟源采用上面的分频电路所分得的1秒的时钟源。(4)用vhdl编写的例子libraryieee;-------调用库useieee.std_logi

5、c_1164.all;useieee.std_logic_unsigned.all;entitytwelveto1is-------实体描述port(finclk:instd_logic;--------端口说明outputa:outstd_logic_vector(6downto0);outputb:outstd_logic_vector(6downto0));endtwelveto1;architecturearch_twelveto1oftwelveto1is--------结构体描述signalsa:std_logic_v

6、ector(3downto0);signalsb:std_logic_vector(3downto0);signalf:std_logic;componentfp--------调用分频模块(分频模块需与此程序在同一文件夹下)port(inclk:instd_logic;outputa:outstd_logic);endcomponent;beginu1:fpportmap(inclk=>finclk,outputa=>f);process(f)--------进程语句描述beginif(rising_edge(f))then--

7、------十二归一条件语句模块if(sa=2andsb=1)thensa<="0001";sb<="0000";elseifsa=9thensa<="0000";sb<=sb+1;elsesa<=sa+1;endif;endif;endif;endprocess;withsaselect----sa表示个位outputa<=""when"0001",""when"0010",""when"0011",""when"0100",""when"0101",""when"0110",""when"0111",""when"1000",

8、""when"1001",""when"1010",""when"1011",""when"1100",""when"1101",""when"1110",""when"1111",""whenothers;withsbselect----sb表示

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。