EDA技术试验指导书new_Quartus1

EDA技术试验指导书new_Quartus1

ID:45755779

大小:951.74 KB

页数:37页

时间:2019-11-17

EDA技术试验指导书new_Quartus1_第1页
EDA技术试验指导书new_Quartus1_第2页
EDA技术试验指导书new_Quartus1_第3页
EDA技术试验指导书new_Quartus1_第4页
EDA技术试验指导书new_Quartus1_第5页
资源描述:

《EDA技术试验指导书new_Quartus1》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、EDA实验报告班级:08级通信工程三班实验一利用原理图输入法设计4位全加器一、实验目的:熟悉如何在QuartusII集成环境下利用原理图输入设计简单组合逻辑电路,掌握层次化的电路设计方法。二、实验原理:一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的进位输入信号cin相接。三、实验内容:1.QuartusTT软件的熟悉熟悉QuartusII环境下原理图的设计方法和流程,可参考课木5.4节的内容,重点掌握层次化的设计方法。2.设计1位全加器原理图设计的原

2、理图如卜•所示SimulationWaveforms;MasterTimeBar:19.325nsjjjJPointer:1.72usInterval:1.7usStart:[E*3.利用层次化原理图方法设计4位全加器(1)生成新的空门原理图,作为4位全加器设计输入(2)利用已经生成的1位全加器作为电路单元,设计4位全加器的原理图,如卜•所示SlackRequiredP2PTimeActualP2PTimeFromToN/ANone10.092nsb[l]sum[4]N/ANone9.960nsa[l]sum[4]N/ANon

3、e9.699nsb[l]coutN/ANone9.642nscsum[4]N/ANone9.642nsa[2]sum[4]N/ANone9.616nsb[l]sum[3]N/ANone9.567nsa[l]coutN/ANone9.484nsa[l]sum[3]N/ANone9.396nsb[2]sum[4]0N/ANone9.303nsb[3]sum[4]I1N/ANone9.292nsM3]sum[4]2N/ANone9.249nsccout113N/ANone9.249nsa[2]cout•4N/ANone9.187ns

4、b[l]£um⑵•5N/ANone9.166nscsum[3]1•BN/ANone9.166nsa[2]sum[3]17N/ANone9.055nsa[l]£um⑵•8N/ANone9.003nsb[2]cout9N/ANone8.920nsb[2]sum[3]10N/ANone8.899nsM3]cout11N/ANone8.812nsM3]sum[3]2N/ANone8.795nsb[l]sum[1]113N/ANone8.744nsa[2]£um⑵•4N/ANone8.737nsc£um⑵•5N/ANone8.675ns

5、b[4]sum[4]1•BN/ANone8.660nsa[l]sum[1]17N/ANone8.627nsb[3]cout•8N/ANone8.573nsa⑷sum[4]•1SimulationWaveformsWasterTimeBar:18.4nsPointer:367.96nsInterval:349.56nsStart:4、设计一个超両进位4位全加器以上设计的全加器是基于串行进位的结构,高位的进位输入必须等待低位的运算结果,造成较长的延时。通过对进位位进行超前运算,可以缩短这部分的延时。在已冇1位全加器的基础上设计一个

6、具冇超前进位结构的4位全加器,原理图如卜•所示仿真波形±1Pointer:Start:End:erTimeBar:19.75ns441.59nsInterval:421.84nsrimingAnalyzerSummaryTypeSlackRequiredTimeActualTimeFromToFromClockToClockFailedPaths1Worst-CQsetpdN/ANone10.120nsb[3]p02Totalnumberoffailedp^ths0SlackRequiredP2PTimeActualP2PTi

7、meFromTo1N/ANone10.120nsb[3]p2N/ANone10.004nsb[3]S1JJTI[3]3N/ANone9.917nsa[3]p4N/ANone9.801nsa[0]S1JJTi[2]5N/ANone9.800nsa[3]SIJJTi[3]6N/ANone9.593nscinS1JJTi[2]7N/ANone9.590nsb[l]g8N/ANone9.533nsa[0]g9N/ANone9.421nsa[0]sum[1]10N/ANone9.350nsb[0]S1JJTi[2]11N/ANone9.

8、324nsa[2]SIJJTi[3]12N/ANone9.213nscinsum[1]13N/ANone9.196nsb[2]S1JJTI[3]14N/ANone9.157nsa[2]P15N/ANone9.152nsb[l]S1JJTI[3]16N/ANone9.152ns

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。