基于VerilogHDL的通信系统设计 第6章 数字调制与解调系统设计

基于VerilogHDL的通信系统设计 第6章 数字调制与解调系统设计

ID:46006195

大小:293.00 KB

页数:20页

时间:2019-11-20

基于VerilogHDL的通信系统设计 第6章 数字调制与解调系统设计_第1页
基于VerilogHDL的通信系统设计 第6章 数字调制与解调系统设计_第2页
基于VerilogHDL的通信系统设计 第6章 数字调制与解调系统设计_第3页
基于VerilogHDL的通信系统设计 第6章 数字调制与解调系统设计_第4页
基于VerilogHDL的通信系统设计 第6章 数字调制与解调系统设计_第5页
资源描述:

《基于VerilogHDL的通信系统设计 第6章 数字调制与解调系统设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第6章数字调制与解调系统设计基于VerilogHDL的通信系统设计基于VerilogHDL的通信系统设计调制是现代数字通信系统中必不可少的一部分。调制多种多样,各有优缺点。在实际数字通信系统中,根据应用要求来选择合适的调制。本章介绍了ASK、FSK、PSK三种基本的调制形式,然后扩展到多进制数字调制,重点介绍了QPSK的原理和设计。多进制调制频率利用率高,更有利于高速数据传输。最后介绍脉冲调制,用离散的脉冲串作为载波,在光纤传输、空间通信等领域有着广泛的应用。基于VerilogHDL的通信系统设计6-1数字调制与解调的基本原理用二进制(多进制)数字信号作为调制信号,去控制载波参量某

2、些的变化,这种把基带数字信号变换成频带数字信号的过程称为数字调制,反之,称为数位解调。用来控制高频载波参数的基带信号称为调制信号,被调制的高频电振荡称为载波。调制的信号通过传输后被接受端接收,把调制信号恢复成原始的基带信号。按照调制信号的性质可以把调制分为:模拟调制和数字调制两种,随着数字通信的发展,模拟调制基本被数字调制取代。基于VerilogHDL的通信系统设计6-2-12-ASK调制原理数字信号对载波振幅调制称为振幅键控,即ASK(AmplitudeShiftKeying),本节重点讨论的是二进制振幅键控调制(2-ASK)的原理及其实现。2-ASK又称二进制开关键控(OOK,

3、On-OffKeying),它是以单极性不归零码来控制载波的开启和关闭的,其调制方式的出现比模拟调制还早。虽然2-ASK的抗干扰性能不如其它调制方式,在无线通信中没有获得实际应用,但由于其实现简单,在光纤通信中广泛应用。此外,2-ASK的分析方法是研究数字调制的理论基础。2-ASK调制有两种实现方法:乘法器实现法和键控法。基于VerilogHDL的通信系统设计6-2-22-ASK调制的VerilogHDL实现根据前面提出的2-ASK调制原理,本节给出采用键控法来实现2-ASK调制的VerilogHDL实现。这里采用单极性不归零码来控制载波的开启和关闭。6-2-32-ASK解调原理2

4、-ASK的解调方法有相干解调与非相干解调两种。相干解调要求接收端提供相干载波。而非相干解调是根据已调信号自身特点来解调。6-2-42-ASK解调的VerilogHDL实现基于VerilogHDL的通信系统设计6-3FSK调制与解调系统的设计频移键控,即FSK(Frequency-ShiftKeying)是对载波频率的调制,它用不同频率的载波来传递数字信号,用数字基带信号控制载波信号的频率。2-FSK又称二进制频移键控,是用两个不同频率的载波来代表数字信号的两种电平。接收端收到不同的载波信号后解调成数字信号,完成信息传递。基于VerilogHDL的通信系统设计6-3-12-FSK调制

5、原理有两种方法可以产生2-FSK信号,这两种方法是直接调频法和频率键控法。这两种产生2-FSK信号的方法各有优缺点:直接调制法实现电路相对要简单,但不够稳定,输出波形是连续的,转换速度慢。频率键控法转换速度快,输出波形要好,而相位是不连续的。6-3-22-FSK调制的VerilogHDL实现基于VerilogHDL的通信系统设计6-3-32-FSK解调原理二进制数字频率键控(2-FSK)信号常用的解调方法有3种:同步检测法。过零检测法。差分检波法。基于VerilogHDL的通信系统设计6-4PSK调制与解调系统的设计数字信号对载波相位调制称为相位键控,即PSK(Phase-Shif

6、tKeying)。是通过数字基带信号控制载波的相位,使得载波相位发生跳变的一种调制方式。二进制相位键控用同一个载波的两种相位来表示数字信号。相对于ASK和FSK系统来说,PSK系统抗噪声能力更强,因而在现代数字通信中有更为广泛的应用。6-4-12-PSK调制基本原理6-4-22-PSK调制的VerilogHDL实现根据PSK调制原理,这里给出采用键控法来实现2-PSK的VerilogHDL实现。基于VerilogHDL的通信系统设计6-4-32-PSK解调原理2-PSK解调通常采用相干解调的方式,其解调原理框图如图6-24所示。图6-242-PSK相干解调原理框图基于Verilog

7、HDL的通信系统设计6-4-42-PSK解调的VerilogHDL实现根据前面2-PSK相干解调实现原理,本节给出一种可以实现2-PSK解调的VerilogHDL程序。2-PSK解调模块的接口信号图如图6-26所示。图6-262-PSK解调模块接口信号图基于VerilogHDL的通信系统设计6-5QPSK调制与解调系统的设计6-5-1QPSK调制原理利用载波不同的四种相位差来表征输入的数字信息构成四进制移相键控(QPSK)。QPSK是M=4时的调相技术。由于它具有4种

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。