数字系统与VHDL程序设计语言

数字系统与VHDL程序设计语言

ID:39707233

大小:1.86 MB

页数:100页

时间:2019-07-09

数字系统与VHDL程序设计语言_第1页
数字系统与VHDL程序设计语言_第2页
数字系统与VHDL程序设计语言_第3页
数字系统与VHDL程序设计语言_第4页
数字系统与VHDL程序设计语言_第5页
资源描述:

《数字系统与VHDL程序设计语言》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、课程简介数字系统与VHDL程序设计语言引例:VHDL原理:VHDL语言非常高速硬件描述语言,也就是一种硬件(数字电路)设计语言.其最大特点是对电路的行为与结构进行高度抽象化规范化,并对设计进行模拟验证与综合优化,使分析和设计高度自动化。支持VHDL语言的软件平台Max+PlusII由软件设计到硬件实现之间的媒介CPLD/FPGA(可编程器件)在Max+PlusII编写VHDL程序存盘(文件名为实体名,后缀为.VHD)编译软件仿真管脚安排下载由软件设计到硬件实现的流程基本顺序语句(1)Pr

2、ocess语句(2)If-Else语句(3)Case-When语句(4)Null语句(5)Waituntil语句(6)变量赋值语句(7)For-Loop语句(8)过程调用语句基本的并行语句(1)直接赋值语句(2)Process语句(3)When-Else(4)With-Select-When(5)元件例化语句(6)For-Generate常用数字电路回顾(1)编码器输入信号输出信号使能端口注:EN为1时编码器工作举例参看EWB辅助电路(2)译码器××1111译码器VHDL与数字电路设计引言VHDL

3、简介一、由来VHDL是VeryHighspeedIntegratedCircuitHardwareDescriptionLanguage(非常高速集成电路硬件描述语言)的英文缩写。它是由美国国防部支持的一项研究计划,于1983年创建,目的是以文字化方法描述电子电路与系统。至今VHDL约有40年的发展历史,1987年,VHDL成为IEEE标准,即IEEE1076标准,1993年修改为IEEE1164标准,1996年,IEEE又将电路合成的标准程序与规格加入到VHDL语言中,称为1076.3标准。之后

4、,又有1076.4标准和1076.6标准。第一章VHDL的程序结构和软件操作1-1VHDL程序的基本结构1-2软件操作—Max+plusⅡ的操作第一章VHDL的程序结构和软件操作1-1VHDL程序的基本结构(1)LIBRARY和PACHAGE的声明部分作用:库(Library)是用于存放预先编译好的程序包(Package),程序包中定义了数据集合体、逻辑操作和元件等。主要是声明在设计或实体中将用到的常数,数据类型,元件及子程序等。使用格式:LIBRARY库名;USE库名.程序包名.All;(2)E

5、NTITY定义作用:定义本设计的输入/出端口,即定义电路的外观,即I/O接口的类型和数量使用格式:…端口名:端口模式数据类型;);ENTITY实体名IsEnd实体名;格式:Port(端口名:端口模式数据类型;(3)ARCHITECTURE定义作用:定义实体的实现。即电路的具体描述,说明电路执行什么动作或实现功能。ARCHITECTURE结构体名Of实体名IsBegin描述语句;End结构体名;使用格式:在Max+plusⅡ系统中有4个库能支持VHDL语言,它们分别是Std库、IEEE库、Alter

6、a库和Lpm库。Std库和IEEE库提供基本的逻辑运算函数及数据类型转换函数等。IEEE库中的程序包std_logic_1164定义了std_logic和std_logic_vector等数据类型。举例:设计一个与门电路逻辑符号真值表LibraryIEEE;Usestd.standard.all;Entityand2isPort(A:inbit;B:inbit;Y:outbit);Endand2;--首先定义输入输出端口名字,模式(Mode),信号类型--注意最后语句的分号在括号外实体定义:Arc

7、hitectureNaofand2isBeginY<=’0’whena=’0’andB=‘0’else’0’whenA=’1’andB=‘0’else’0’whenA=’0’andB=‘1’else‘1’;EndNa结构体定义:端口模式有以下几种类型:IN;OUT;INOUT;BUFFER。ArchitectureNbofand2isBeginc<=’1’whena=’1’andb=‘1’else‘0’;EndNb;以上结构体表达何种电路?一个实体可以有几个结构体,即结构体的定义可以有不同的形式结

8、论:1-2软件操作—Max+plusⅡ的操作1-2-1建立和编写一个VHDL语言的工程文件1-2-2VHDL程序的编译1-2-3VHDL语言程序的仿真1-2-4芯片的时序分析1-2-5安排芯片脚位1-2软件操作—Max+plusⅡ的操作1.Max+plusⅡ开发工具是美国Altera公司自行设计的一种软件工具,其全称为MultipleArrayMatrixandProgrammableLogicUserSystem。它具有原理图输入和文本输入(采用硬件描述语言)两种输入手段,利用该

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。