数字系统与vhdl程序设计语言001new

数字系统与vhdl程序设计语言001new

ID:34526345

大小:1.21 MB

页数:100页

时间:2019-03-07

数字系统与vhdl程序设计语言001new_第1页
数字系统与vhdl程序设计语言001new_第2页
数字系统与vhdl程序设计语言001new_第3页
数字系统与vhdl程序设计语言001new_第4页
数字系统与vhdl程序设计语言001new_第5页
资源描述:

《数字系统与vhdl程序设计语言001new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、数字系统与VHDLVHDL程序设计语言课程简介引例:牛罐头酸辣味儿五香味儿牛自动生产线麻辣味儿计算机控制系统VHDL原理:可编目标串程逻器件行辑器接口口件软件编程VHDL语言非常高速硬件描述语言,也就是一种硬件(数字电路)设计语言.其最大特点是对电路的行为与结构进行高度抽象化规范化,并对设计进行模拟验证与综合优化,使分析和设计高度自动化。支持VHDL语言的软件平台Max+PlusII由软件设计到硬件实现之间的媒介CPLD/FPGA(可编程器件)在Max+PlusII编写VHDL程序由软存盘件(文件名为实体名,后缀为.VHD)设计编译到硬件软件仿真实现管脚安排的流下载

2、程基本顺序语句基本的并行语句(1)Process语句(1)直接赋值语句(2)If-Else语句(2)Process语句(3)Case-When语句(3)When-Else(4)Null语句(5)Waituntil语句(4)With-Select-When(6)变量赋值语句(5)元件例化语句(7)For-Loop语句(6)For-Generate(8)过程调用语句常用数字电路回顾(1)编码器A7A68X3A5Y2输出输入A4Y1信号信号A3Y0A2A1A0EN使能端口A7A6A5A4A3A2A1A0Y2Y1Y010000000111010000001100010000010

3、10001000010000001000011000001000100000001000100000001000注:EN为1时编码器工作举例参看EWB辅助电路(2)译码器Y3Y2Y1Y0A1A0111000110101VccY0Y1Y2Y3Y4Y5Y6101110011111161514131211109译码器SA1A0Y3Y2Y1Y0123456781××111100011100011101A0A1A2S3S2S1Y7GND01010110110111CT74138VHDL与数字电路设计引言VHDL简介一、由来VHDL是VeryHighspeedIntegratedCirc

4、uitHardwareDescriptionLanguage(非常高速集成电路硬件描述语言)的英文缩写。它是由美国国防部支持的一项研究计划,于1983年创建,目的是以文字化方法描述电子电路与系统。至今VHDL约有40年的发展历史,1987年,VHDL成为IEEE标准,即IEEE1076标准,1993年修改为IEEE1164标准,1996年,IEEE又将电路合成的标准程序与规格加入到VHDL语言中,称为1076.3标准。之后,又有1076.4标准和1076.6标准。第一章VHDL的程序结构和软件操作1-1VHDL程序的基本结构1-2软件操作—Max+plusⅡ的操作第一章VHD

5、L的程序结构和软件操作1-1VHDL程序的基本结构(1)LIBRARY和PACHAGE的声明部分作用:库(Library)是用于存放预先编译好的程序包(Package),程序包中定义了数据集合体、逻辑操作和元件等。主要是声明在设计或实体中将用到的常数,数据类型,元件及子程序等。使用格式:LIBRARY库名;USE库名.程序包名.All;(2)ENTITY定义作用:定义本设计的输入/出端口,即定义电路的外观,即I/O接口的类型和数量使用格式:格式::ENTITY实体名IsPort(端口名:端口模式数据类…型;端口名:端口模式数据类型;);End实体名;(3)ARCHITECTU

6、RE定义作用:定义实体的实现。即电路的具体描述,说明电路执行什么动作或实现功能。使用格式::ARCHITECTURE结构体名Of实体名IsBegin描述语句;End结构体名;在Max+plusⅡ系统中有4个库能支持VHDL语言,它们分别是Std库、IEEE库、Altera库和Lpm库。Std库和IEEE库提供基本的逻辑运算函数及数据类型转换函数等。IEEE库中的程序包std_logic_1164定义了std_logic和std_logic_vector等数据类型。举例::设计一个与门电路ABY000A010&YB100111逻辑符号真值表实体定义:LibraryIEEE;Us

7、estd.standard.all;--首先定义输入输出端口名字,Entityand2is模式(Mode),信号类型Port(A:inbit;B:in--注意最后语句的分号在括号外bit;Y:outbit);Endand2;结构体定义:ArchitectureNaofand2isBeginY<=’0’whena=’0’andB=‘0’else’0’whenA=’1’andB=‘0’else’0’whenA=’0’andB=‘1’else端口模式有以下几种类型:IN;OUT;INOUT;BUFFER。‘1

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。