VHDL源代码-计数器

VHDL源代码-计数器

ID:37900387

大小:39.00 KB

页数:19页

时间:2019-06-02

VHDL源代码-计数器_第1页
VHDL源代码-计数器_第2页
VHDL源代码-计数器_第3页
VHDL源代码-计数器_第4页
VHDL源代码-计数器_第5页
资源描述:

《VHDL源代码-计数器》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、十五计数器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ENTITYfiveteencoutISPORT(clk,reset,enable:INstd_logic;count:OUTstd_logic_vector(3downto0));ENDfiveteencout;ARCHITECTUREcounterOFfiveteencoutISSIGNALcount_int:std_logic_vector(0to3);BEGINPROCESS(clk,reset)

2、BEGINWAITUNTILrising_edge(clk);IFreset='1'THENcount_int<=(OTHERS=>'0');ELSIFenable='1'THENIF(count_int="1110")THENcount_int<="0000";ELSEcount_int<=count_int1;--ELSE--NULL;--IF(count_int="1001")THEN--count_int<="0000";ENDIF;ENDIF;ENDPROCESS;count<=count_int;--IF(reset='0')then--q

3、<="0000";---ELSIF(clk'eventandclk='1')THEN--q<=q1;--IF(q<="1001")then--q<="0000";---ENDIF;--IF(reset<='1')THEN--q<="00";--ELSIF--waituntil(clk'eventandclk='1');--WAITUNTIL(clk'EVENTANDclk='1');--WAITUNTIL(clock'EVENTANDclock='1');--q<=q'1';--endif;--count<=q;--WAITUNTILclock='1'

4、;--if(clock'eventandclock='1')then--WAITUNTILrising_edge(clock);--clock'eventandclock='1';--count<=0;--WAITUNTIL(clock'EVENTANDclock='1');--WAITriseedgeclock='1';--if(clock'eventandclock='1')then--WAITUNTILrising_edge(clock);--count<=1;--WAITUNTIL(clock'EVENTANDclock='1');--WAIT

5、UNTILclock='1';--if(clock'eventandclock='1')then--WAITUNTILrising_edge(clock);--count<=2;--endif;--endif;--endif;--ENDPROCESS;ENDcounter;十四计数器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ENTITYfourteencoutISPORT(clk,reset,enable:INstd_logic;count:OUTstd_

6、logic_vector(3downto0));ENDfourteencout;ARCHITECTUREcounterOFfourteencoutISSIGNALcount_int:std_logic_vector(0to3);BEGINPROCESS(clk,reset)BEGINWAITUNTILrising_edge(clk);IFreset='1'THENcount_int<=(OTHERS=>'0');ELSIFenable='1'THENIF(count_int="1101")THENcount_int<="0000";ELSEcount_

7、int<=count_int1;--ELSE--NULL;--IF(count_int="1001")THEN--count_int<="0000";ENDIF;ENDIF;ENDPROCESS;count<=count_int;--IF(reset='0')then--q<="0000";---ELSIF(clk'eventandclk='1')THEN--q<=q1;--IF(q<="1001")then--q<="0000";---ENDIF;--IF(reset<='1')THEN--q<="00";--ELSIF--waituntil(clk

8、'eventandclk='1');--WAITUNTIL(clk'EVENTANDclk='

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。