60进制计数器VHDL语言

60进制计数器VHDL语言

ID:44713065

大小:85.00 KB

页数:4页

时间:2019-10-25

60进制计数器VHDL语言_第1页
60进制计数器VHDL语言_第2页
60进制计数器VHDL语言_第3页
60进制计数器VHDL语言_第4页
资源描述:

《60进制计数器VHDL语言》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYbcd60countISPORT(clk,bcd1wr,bcd10wr,cin:INSTD_LOGIC;co:OUTSTD_LOGIC;datain:INSTD_LOGIC_VECTOR(3DOWNTO0);bcd1:OUTSTD_LOGIC_VECTOR(3DOWNTO0);bcdm:OUTSTD_LOGIC_VECTOR(2DOWNTO0));ENDENTITYBCD60COUNT

2、;ARCHITECTURERTLOFBCD60COUNTISSIGNALBCD1N:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALBCDUN:STD_LOGIC_VECTOR(2DOWNTO0);BEGINBCD1<=bcd1n;bcdm<=BCDUN;PROCESS(CLK,BCD1WR)ISBEGINIF(bcd1wr='1')THENbcd1N<=DATAIN;ELSIF(CLK'EVENTANDclk='1')THENIF(cin='1')THENIF(bcd1n=9)THENbcd1n<="0000";E

3、LSEbcd1n<=bcd1n+1;endif;endif;endif;ENDPROCESS;PROCESS(clk,bcd10wr)ISBEGINIF(bcd10wr='1')THENBCDUN<=datain(2DOWNTO0);ELSIF(clk'EVENTANDclk='1')THENIF(cin='1'ANDbcd1n=9)THENIF(BCDUN=5)THENBCDUN<="000";ELSEBCDUN<=BCDUN+1;ENDIF;endif;ENDIF;ENDPROCESS;PROCESS(BCDUN,bcd1n,ci

4、n)ISBEGINIF(cin='1'ANDbcd1n=9ANDBCDUN=5)THENco<='1';ELSEco<='0';ENDIF;ENDPROCESS;ENDARCHITECTURErtl;

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。