VHDL语言编写BCD码60进制加法计数器.doc

VHDL语言编写BCD码60进制加法计数器.doc

ID:54765451

大小:13.00 KB

页数:2页

时间:2020-04-21

VHDL语言编写BCD码60进制加法计数器.doc_第1页
VHDL语言编写BCD码60进制加法计数器.doc_第2页
资源描述:

《VHDL语言编写BCD码60进制加法计数器.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL语言编写BCD码60进制加法计数器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT60ISPORT(CLK,EN,CR:INSTD_LOGIC;LD:INSTD_LOGIC;D:INSTD_LOGIC_VECTOR(7DOWNTO0);CO:OUTSTD_LOGIC;Q:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDCNT60;ARCHITECTUREaOF

2、CNT60ISSIGNALQN:STD_LOGIC_VECTOR(7DOWNTO0);BEGINCO<='1'WHEN(QN=X"59"ANDEN='1')ELSE'0';PROCESS(CLK,CR)BEGINIF(CR='0')THENQN<=X"00";ELSEIF(CLK'EVENTANDCLK='1')THENIF(LD='0')THENQN<=D;ELSIF(EN='1')THENIFQN(3DOWNTO0)=9THENQN(3DOWNTO0)<="0000";IFQN(7DOWNTO4)=5THENQN(7DOWNTO4)<="0000

3、";ELSEQN(7DOWNTO4)<=QN(7DOWNTO4)+1;ENDIF;ELSEQN(3DOWNTO0)<=QN(3DOWNTO0)+1;ENDIF;ENDIF;ENDIF;ENDIF;ENDPROCESS;Q<=QN;enda;

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。