基于FPGA数字秒表设计报告

基于FPGA数字秒表设计报告

ID:24143581

大小:2.55 MB

页数:53页

时间:2018-11-12

上传者:chess95660
基于FPGA数字秒表设计报告_第1页
基于FPGA数字秒表设计报告_第2页
基于FPGA数字秒表设计报告_第3页
基于FPGA数字秒表设计报告_第4页
基于FPGA数字秒表设计报告_第5页
资源描述:

《基于FPGA数字秒表设计报告》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

标准实验报告实验项目:基于FPGA数字秒表设计 毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作者签名:     日 期:     指导教师签名:     日  期:     使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名:     日 期:      学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权    大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名:日期:年月日导师签名:日期:年月日 注意事项1.设计(论文)的内容包括:1)封面(按教务处制定的标准封面格式制作)2)原创性声明3)中文摘要(300字左右)、关键词4)外文摘要、关键词5)目次页(附件不统一编入)6)论文主体部分:引言(或绪论)、正文、结论7)参考文献8)致谢9)附录(对论文支持必要时)2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。4.文字、图表要求:1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画3)毕业论文须用A4单面打印,论文50页以上的双面打印4)图表应绘制于无格子的页面上5)软件工程类课题应有程序清单,并提供电子文档5.装订顺序1)设计(论文)2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订 指导教师评阅书指导教师评价:一、撰写(设计)过程1、学生在论文(设计)过程中的治学态度、工作精神□优□良□中□及格□不及格2、学生掌握专业知识、技能的扎实程度□优□良□中□及格□不及格3、学生综合运用所学知识和专业技能分析和解决问题的能力□优□良□中□及格□不及格4、研究方法的科学性;技术线路的可行性;设计方案的合理性□优□良□中□及格□不及格5、完成毕业论文(设计)期间的出勤情况□优□良□中□及格□不及格二、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范?□优□良□中□及格□不及格2、是否完成指定的论文(设计)任务(包括装订及附件)?□优□良□中□及格□不及格三、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义□优□良□中□及格□不及格2、论文的观念是否有新意?设计是否有创意?□优□良□中□及格□不及格3、论文(设计说明书)所体现的整体水平□优□良□中□及格□不及格建议成绩:□优□良□中□及格□不及格(在所选等级前的□内画“√”)指导教师:(签名)单位:(盖章)年月日 评阅教师评阅书评阅教师评价:一、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范?□优□良□中□及格□不及格2、是否完成指定的论文(设计)任务(包括装订及附件)?□优□良□中□及格□不及格二、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义□优□良□中□及格□不及格2、论文的观念是否有新意?设计是否有创意?□优□良□中□及格□不及格3、论文(设计说明书)所体现的整体水平□优□良□中□及格□不及格建议成绩:□优□良□中□及格□不及格(在所选等级前的□内画“√”)评阅教师:(签名)单位:(盖章)年月日 教研室(或答辩小组)及教学系意见教研室(或答辩小组)评价:一、答辩过程1、毕业论文(设计)的基本要点和见解的叙述情况□优□良□中□及格□不及格2、对答辩问题的反应、理解、表达情况□优□良□中□及格□不及格3、学生答辩过程中的精神状态□优□良□中□及格□不及格二、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范?□优□良□中□及格□不及格2、是否完成指定的论文(设计)任务(包括装订及附件)?□优□良□中□及格□不及格三、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义□优□良□中□及格□不及格2、论文的观念是否有新意?设计是否有创意?□优□良□中□及格□不及格3、论文(设计说明书)所体现的整体水平□优□良□中□及格□不及格评定成绩:□优□良□中□及格□不及格教研室主任(或答辩小组组长):(签名)年月日教学系意见:系主任:(签名)年月日 目录1.秒表设计要求12.设计思路12.1功能模块12.1.1分频器12.1.2计数器12.1.3数据锁存器12.1.4控制器12.1.5扫描显示的控制电路22.1.6显示电路32.1.7按键消抖电路33.电路实现44.程序仿真104.1分频器104.1.1计数器电路综合114.1.2计数器电路仿真114.2同步计数器134.2.1计数器实现134.2.2计数器仿真154.2.3同步计数器电路综合174.3按键消抖电路184.3.1按键消抖电路实现184.3.2按键消抖电路仿真184.3.3按键消抖电路综合204.4八段译码器204.4.1八段译码器实现204.4.2八段译码器仿真214.4.3八段译码器电路综合224.5控制器234.5.1控制器234.5.1控制器仿真244.5.3控制器电路综合255.2ViewTechnologySchematic:265.3管脚锁定:276.实验结论27 1.秒表设计要求(1)秒表的计时范围为00:00:00~59:59:99。(2)两个按钮开关Start/Stop和Split/Reset,控制秒表的启动、停止、分段和复位:在秒表已经被复位的情况下,按下“Start/Stop”键,秒表开始计时。在秒表正常运行的情况下,如果按下“Start/Stop”键,则秒表暂停计时;再次按下该键,秒表继续计时。在秒表正常运行的情况下,如果按下“Split/Reset”键,显示停止在按键时的时间,但秒表仍然在计时;再次按下该键,秒表恢复正常显示。在秒表暂停计时的情况下,按下“Split/Reset”键,秒表复位归零。2.设计思路2.1功能模块2.1.1分频器对晶体振荡器产生的时钟信号进行分频,产生时间基准信号2.1.2计数器对时间基准脉冲进行计数,完成计时功能2.1.3数据锁存器锁存数据使显示保持暂停2.1.4控制器通过产生锁存器的使能信号来控制计数器的运行、停止以及复位设计分析: 2.1.5扫描显示的控制电路包括扫描计数器、数据选择器和7段译码器,控制8个数码管以扫描方式显示计时结果,原理图如下: 实验电路板上的按键2.1.6显示电路2.1.7按键消抖电路消除按键输入信号抖动的影响,输出单脉冲实验板上的数码管为共阳LED数码管按键按下时,FPGA的输入为低电平;松开按键时,FPGA的输入为高电平但是在按下按键和松开按键的瞬间会出现抖动现象2.2电路框图 3.电路实现------------------------------------------------------------------------------------Company:--Engineer:----CreateDate:09:08:3903/12/2011--DesignName:--ModuleName:stopwatch_1-Behavioral--ProjectName:--TargetDevices:--Toolversions:--Description:----Dependencies:----Revision:--Revision0.01-FileCreated--AdditionalComments:------------------------------------------------------------------------------------libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;----Uncommentthefollowinglibrarydeclarationifinstantiating----anyXilinxprimitivesinthiscode.--libraryUNISIM;--useUNISIM.VComponents.all;entitystopwatch_1isPort(Clk:inSTD_LOGIC;start_stop:inSTD_LOGIC;split_reset:inSTD_LOGIC;ncs:outSTD_LOGIC;s:outSTD_LOGIC_VECTOR(2downto0);seg:outSTD_LOGIC_VECTOR(7downto0) );endstopwatch_1;architectureBehavioralofstopwatch_1issignalk1,k2,k3,k4:STD_LOGIC;signalcnt_1,cnt_2:STD_LOGIC_VECTOR(1downto0);signalstart_stop_out,split_reset_out:STD_LOGIC;signalcount:STD_LOGIC_VECTOR(15downto0):=(others=>'0');signalclk_1k:STD_LOGIC;signalz0,z1,z2,z3,z4,z5,z6,q1,q2,q3,q4,q5,q6:STD_LOGIC_VECTOR(3downto0):=(others=>'0');signalcount_2:STD_LOGIC_VECTOR(2downto0):=(others=>'0');signalin_7:STD_LOGIC_VECTOR(3downto0);signalsreg:STD_LOGIC_VECTOR(2downto0):="111";signalsnext:STD_LOGIC_VECTOR(2downto0);Begin---------------------------------------------------------为三八译码器置入使能信号ncs<='0';---------------------------------------------------------分频电路process(clk)beginifrising_edge(clk)thenifcount=47999thencount<=(others=>'0');elsecount<=count+1;endif;endif;endprocess;clk_1k<=count(15); ---------------------------------------------------------同步计数电路process(clk_1k,sreg(2))beginifrising_edge(clk_1k)thenifsreg(2)='1'thenz0<=(others=>'0');z1<=(others=>'0');z2<=(others=>'0');z3<=(others=>'0');z4<=(others=>'0');z5<=(others=>'0');z6<=(others=>'0');elsifsreg(1)='1'thenz0<=z0+1;ifz0=9thenz0<=(others=>'0');z1<=z1+1;ifz1=9thenz1<=(others=>'0');z2<=z2+1;ifz2=9thenz2<=(others=>'0');z3<=z3+1;ifz3=9thenz3<=(others=>'0');z4<=z4+1;ifz4=5thenz4<=(others=>'0');z5<=z5+1;ifz5=9thenz5<=(others=>'0');z6<=z6+1;ifz6=5thenz6<=(others=>'0');endif;endif;endif;endif;endif;endif; endif;endif;endif;endprocess;---------------------------------------------------------扫描计数器process(clk_1k)beginifrising_edge(clk_1k)thencount_2<=count_2+1;endif;endprocess;s<=count_2;---------------------------------------------------------锁存器process(sreg(0),z1,z2,z3,z4,z5,z6)beginifsreg(0)='1'thenq1<=z1;q2<=z2;q3<=z3;q4<=z4;q5<=z5;q6<=z6;endif;endprocess;---------------------------------------------------------process(count_2,q1,q2,q3,q4,q5,q6)begincasecount_2iswhen"000"=>in_7<=q1;when"001"=>in_7<=q2;when"011"=>in_7<=q3;when"100"=>in_7<=q4;when"110"=>in_7<=q5;when"111"=>in_7<=q6;whenothers=>in_7<="1111";endcase;endprocess;---------------------------------------------------------八段译码器process(in_7)begin casein_7iswhen"0000"=>seg<="00000011";when"0001"=>seg<="10011111";when"0010"=>seg<="00100101";when"0011"=>seg<="00001101";when"0100"=>seg<="10011001";when"0101"=>seg<="01001001";when"0110"=>seg<="01000001";when"0111"=>seg<="00011111";when"1000"=>seg<="00000001";when"1001"=>seg<="00001001";whenothers=>seg<="11111101";endcase;endprocess;---------------------------------------------------------按键去抖电路process(clk_1k,start_stop)beginifclk_1k'eventandclk_1k='0'thenifcnt_1=3thenk1<='1';elsek1<='0';cnt_1<=cnt_1+1;endif;k2<=k1;endif;ifstart_stop='0'thencnt_1<="00";endif;endprocess;start_stop_out<=notk1andk2;process(clk_1k,split_reset)beginifclk_1k'eventandclk_1k='0'thenifcnt_2=3thenk3<='1';elsek3<='0';cnt_2<=cnt_2+1;endif;k4<=k3;endif;ifsplit_reset='0'then cnt_2<="00";endif;endprocess;split_reset_out<=notk3andk4;---------------------------------------------------------控制器process(clk_1k,start_stop_out,split_reset_out)beginifrising_edge(clk_1k)thensreg<=snext;endif;endprocess;process(start_stop_out,split_reset_out,sreg)begincasesregiswhen"111"=>ifstart_stop_out='1'andsplit_reset_out='0'thensnext<="011";elsesnext<=sreg;endif;when"011"=>ifstart_stop_out='1'andsplit_reset_out='0'thensnext<="001";elsifstart_stop_out='0'andsplit_reset_out='1'thensnext<="010";elsesnext<=sreg;endif;when"001"=>ifstart_stop_out='0'andsplit_reset_out='1'thensnext<="111";elsifstart_stop_out='1'andsplit_reset_out='0'thensnext<="011";elsesnext<=sreg;endif;when"010"=>ifstart_stop_out='0'andsplit_reset_out='1'thensnext<="011";elsesnext<=sreg;endif;whenothers=>snext<="111";endcase;endprocess;endBehavioral;注:控制器设计时,巧妙地将状态编码和控制器输出的控制信号编码合二为一,即状态编码也是控制信号编码,使得程序形式上更为简单、清晰。 4.程序仿真4.1分频器entityfpisPort(clk_48M:inSTD_LOGIC;clk_1k:outSTD_LOGIC);endfp;architectureBehavioraloffpissignalcount:STD_LOGIC_VECTOR(15downto0):=(others=>'0');beginprocess(clk_48M)beginifrising_edge(clk_48M)thenifcount=47999thencount<=(others=>'0');elsecount<=count+1;endif;endif;endprocess;clk_1k<=count(15);endBehavioral;tb:PROCESSBEGINclk_48M<='1';waitfor10.4ns;clk_48M<='0';waitfor10.4ns;ENDPROCESS; 4.1.1计数器电路综合4.1.2计数器电路仿真 由图可得分频后的信号周期T=999333718ps≈0.001s即的到了1KHz的信号 由图可得时钟信号周期T=20845ps≈20.845ns即的到了48MHz的时钟信号4.2同步计数器4.2.1计数器实现entitycount_6isPort(clk_1k:inSTD_LOGIC;d1:outSTD_LOGIC_VECTOR(3downto0);d2:outSTD_LOGIC_VECTOR(3downto0);d3:outSTD_LOGIC_VECTOR(3downto0);d4:outSTD_LOGIC_VECTOR(3downto0);d5:outSTD_LOGIC_VECTOR(3downto0);d6:outSTD_LOGIC_VECTOR(3downto0));endcount_6; architectureBehavioralofcount_6issignalz0,z1,z2,z3,z4,z5,z6:STD_LOGIC_VECTOR(3downto0):=(others=>'0');signalclr,en:STD_LOGIC;Beginclr<='0';---------------------------------------------清零无效en<='1';---------------------------------------------计数使能有效d1<=z1;d2<=z2;d3<=z3;d4<=z4;d5<=z5;d6<=z6;process(clk_1k,clr)beginifrising_edge(clk_1k)thenifclr='1'thenz0<=(others=>'0');z1<=(others=>'0');z2<=(others=>'0');z3<=(others=>'0');z4<=(others=>'0');z5<=(others=>'0');z6<=(others=>'0');elsifen='1'thenz0<=z0+1;ifz0=9thenz0<=(others=>'0');z1<=z1+1;ifz1=9thenz1<=(others=>'0');z2<=z2+1;ifz2=9thenz2<=(others=>'0');z3<=z3+1;ifz3=9thenz3<=(others=>'0');z4<=z4+1;ifz4=5thenz4<=(others=>'0');z5<=z5+1;ifz5=9thenz5<=(others=>'0');z6<=z6+1; ifz6=5thenz6<=(others=>'0');endif;endif;endif;endif;endif;endif;endif;endif;endif;endprocess;endBehavioral;4.2.2计数器仿真tb:PROCESSBEGINclk_1k<='0';waitfor0.5ms;clk_1k<='1';waitfor0.5ms;ENDPROCESS;0.01s位由图可以看出为十进制0.1s位 由图可以看出为十进制1s位由图可以看出为十进制10s位由图可以看出为六进制1min位 由图可以看出为十进制10min位由图可以看出为六进制4.2.3同步计数器电路综合 4.3按键消抖电路4.3.1按键消抖电路实现entityquedouisPort(clk_1k:inSTD_LOGIC;key_in:inSTD_LOGIC;key_out:outSTD_LOGIC);endquedou;architectureBehavioralofquedouissignalk1,k2:STD_LOGIC;signalcnt_1:STD_LOGIC_VECTOR(1downto0);beginprocess(clk_1k,key_in)beginifclk_1k'eventandclk_1k='0'thenifcnt_1=3thenk1<='1';elsek1<='0';cnt_1<=cnt_1+1;endif;k2<=k1;endif;ifkey_in='0'thencnt_1<="00";endif;endprocess;key_out<=notk1andk2;endBehavioral;4.3.2按键消抖电路仿真 tb:PROCESSBEGINclk_1k<='0';waitfor0.5ms;clk_1k<='1';waitfor0.5ms;ENDPROCESS;PROCESSBEGINkey_in<='1';waitfor10ms;key_in<='0';waitfor0.1ms;key_in<='1';waitfor0.09ms;key_in<='0';waitfor0.1ms;key_in<='1';waitfor0.11ms;key_in<='0';waitfor0.12ms;key_in<='1';waitfor0.11ms;key_in<='0';waitfor0.12ms;key_in<='1';waitfor0.1ms;key_in<='0';waitfor0.11ms;key_in<='1';waitfor0.12ms;key_in<='0';waitfor0.1ms;key_in<='1';waitfor0.1ms;key_in<='0';waitfor10ms;key_in<='1';waitfor0.09ms;key_in<='0';waitfor0.08ms;key_in<='1';waitfor0.1ms;key_in<='0';waitfor0.11ms;key_in<='1';waitfor0.09ms;key_in<='0';waitfor0.1ms;key_in<='1';waitfor0.11ms;key_in<='0';waitfor0.12ms;key_in<='1';waitfor0.1ms;key_in<='0';waitfor0.11ms;key_in<='1';waitfor0.12ms;key_in<='0';waitfor0.1ms;key_in<='1';waitfor10ms;ENDPROCESS; 4.3.3按键消抖电路综合4.4八段译码器4.4.1八段译码器实现entitybaduanisPort(in_7:inSTD_LOGIC_VECTOR(3downto0);seg:outSTD_LOGIC_VECTOR(7downto0));endbaduan;architectureBehavioralofbaduanisbeginprocess(in_7) beginCasein_7iswhen"0000"=>seg<="00000011";when"0001"=>seg<="10011111";when"0010"=>seg<="00100101";when"0011"=>seg<="00001101";when"0100"=>seg<="10011001";when"0101"=>seg<="01001001";when"0110"=>seg<="01000001";when"0111"=>seg<="00011111";when"1000"=>seg<="00000001";when"1001"=>seg<="00001001";whenothers=>seg<="11111101";endcase;endprocess;endBehavioral;4.4.2八段译码器仿真tb:PROCESSBEGINin_7<="0000";waitfor1ms;in_7<="0001";waitfor1ms;in_7<="0010";waitfor1ms;in_7<="0011";waitfor1ms;in_7<="0100";waitfor1ms;in_7<="0101";waitfor1ms;in_7<="0110";waitfor1ms;in_7<="0111";waitfor1ms;in_7<="1000";waitfor1ms;in_7<="1001";waitfor1ms;in_7<="1010";waitfor1ms;in_7<="0000";waitfor1ms;ENDPROCESS;由图可见仿真结果与程序完全符合 4.4.3八段译码器电路综合ViewTechnologySchematic: 4.5控制器4.5.1控制器entitykongzhiqiisPort(clk_1k:inSTD_LOGIC;start_stop_out:inSTD_LOGIC;split_reset_out:inSTD_LOGIC;sreg_out:outSTD_LOGIC_VECTOR(2downto0));endkongzhiqi;architectureBehavioralofkongzhiqiissignalsreg:STD_LOGIC_VECTOR(2downto0):="111";signalsnext:STD_LOGIC_VECTOR(2downto0);beginprocess(clk_1k,start_stop_out,split_reset_out)beginifrising_edge(clk_1k)thensreg<=snext;endif;endprocess;process(start_stop_out,split_reset_out,sreg)begincasesregiswhen"111"=>ifstart_stop_out='1'andsplit_reset_out='0'thensnext<="011";elsesnext<=sreg;endif;when"011"=>ifstart_stop_out='1'andsplit_reset_out='0'thensnext<="001";elsifstart_stop_out='0'andsplit_reset_out='1'thensnext<="010";elsesnext<=sreg;endif;when"001"=>ifstart_stop_out='0'andsplit_reset_out='1'thensnext<="111";elsifstart_stop_out='1'andsplit_reset_out='0'thensnext<="011";elsesnext<=sreg;endif; when"010"=>ifstart_stop_out='0'andsplit_reset_out='1'thensnext<="011";elsesnext<=sreg;endif;whenothers=>snext<="111";endcase;endprocess;sreg_out<=sreg;endBehavioral;4.5.1控制器仿真tb:PROCESSBEGINclk_1k<='0';waitfor0.5ms;clk_1k<='1';waitfor0.5ms;ENDPROCESS;PROCESSBEGINstart_stop_out<='1';waitfor1ms;start_stop_out<='1';waitfor1ms;start_stop_out<='1';waitfor1ms;start_stop_out<='0';waitfor1ms;start_stop_out<='0';waitfor1ms;start_stop_out<='1';waitfor1ms;start_stop_out<='0';waitfor1ms;ENDPROCESS;PROCESSBEGINsplit_reset_out<='0';waitfor1ms;split_reset_out<='0';waitfor1ms;split_reset_out<='0';waitfor1ms;split_reset_out<='1';waitfor1ms;split_reset_out<='1';waitfor1ms;split_reset_out<='0';waitfor1ms;split_reset_out<='1';waitfor1ms;ENDPROCESS;注:为方便起见,此处TestBench是针对几个特定的状态间的转移来写的:复位状态(111)→正常状态(011)→暂停状态(001)→正常状态(011)→ 显示锁定状态(010)→正常状态(011)→暂停状态(001)→复位状态(111)4.5.3控制器电路综合5.电路综合5.1电路总体综合 5.2ViewTechnologySchematic: 5.3管脚锁定:NET"Clk"LOC=T8;NET"split_reset"LOC=G5;NET"start_stop"LOC=F4;NET"ncs"LOC=D7;NET"s<0>"LOC=F8;NET"s<1>"LOC=D8;NET"s<2>"LOC=E7;NET"seg<7>"LOC=B14;NET"seg<6>"LOC=A13;NET"seg<5>"LOC=C13;NET"seg<4>"LOC=C12;NET"seg<3>"LOC=A12;NET"seg<2>"LOC=B12;NET"seg<1>"LOC=A11;NET"seg<0>"LOC=C11;6.实验结论将程序下载到FPGA试验底板上后,演示结果已达任务要求。 毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作者签名:     日 期:     指导教师签名:     日  期:     使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名:     日 期:      学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权    大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名:日期:年月日导师签名:日期:年月日 指导教师评阅书指导教师评价:一、撰写(设计)过程1、学生在论文(设计)过程中的治学态度、工作精神□优□良□中□及格□不及格2、学生掌握专业知识、技能的扎实程度□优□良□中□及格□不及格3、学生综合运用所学知识和专业技能分析和解决问题的能力□优□良□中□及格□不及格4、研究方法的科学性;技术线路的可行性;设计方案的合理性□优□良□中□及格□不及格5、完成毕业论文(设计)期间的出勤情况□优□良□中□及格□不及格二、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范?□优□良□中□及格□不及格2、是否完成指定的论文(设计)任务(包括装订及附件)?□优□良□中□及格□不及格三、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义□优□良□中□及格□不及格2、论文的观念是否有新意?设计是否有创意?□优□良□中□及格□不及格3、论文(设计说明书)所体现的整体水平□优□良□中□及格□不及格建议成绩:□优□良□中□及格□不及格(在所选等级前的□内画“√”)指导教师:(签名)单位:(盖章)年月日 评阅教师评阅书评阅教师评价:一、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范?□优□良□中□及格□不及格2、是否完成指定的论文(设计)任务(包括装订及附件)?□优□良□中□及格□不及格二、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义□优□良□中□及格□不及格2、论文的观念是否有新意?设计是否有创意?□优□良□中□及格□不及格3、论文(设计说明书)所体现的整体水平□优□良□中□及格□不及格建议成绩:□优□良□中□及格□不及格(在所选等级前的□内画“√”)评阅教师:(签名)单位:(盖章)年月日 教研室(或答辩小组)及教学系意见教研室(或答辩小组)评价:一、答辩过程1、毕业论文(设计)的基本要点和见解的叙述情况□优□良□中□及格□不及格2、对答辩问题的反应、理解、表达情况□优□良□中□及格□不及格3、学生答辩过程中的精神状态□优□良□中□及格□不及格二、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范?□优□良□中□及格□不及格2、是否完成指定的论文(设计)任务(包括装订及附件)?□优□良□中□及格□不及格三、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义□优□良□中□及格□不及格2、论文的观念是否有新意?设计是否有创意?□优□良□中□及格□不及格3、论文(设计说明书)所体现的整体水平□优□良□中□及格□不及格评定成绩:□优□良□中□及格□不及格(在所选等级前的□内画“√”)教研室主任(或答辩小组组长):(签名)年月日教学系意见:系主任:(签名)年月日14 学位论文原创性声明本人郑重声明:所呈交的学位论文,是本人在导师的指导下进行的研究工作所取得的成果。尽我所知,除文中已经特别注明引用的内容和致谢的地方外,本论文不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式注明并表示感谢。本人完全意识到本声明的法律结果由本人承担。学位论文作者(本人签名):年月日学位论文出版授权书本人及导师完全同意《中国博士学位论文全文数据库出版章程》、《中国优秀硕士学位论文全文数据库出版章程》(以下简称“章程”),愿意将本人的学位论文提交“中国学术期刊(光盘版)电子杂志社”在《中国博士学位论文全文数据库》、《中国优秀硕士学位论文全文数据库》中全文发表和以电子、网络形式公开出版,并同意编入CNKI《中国知识资源总库》,在《中国博硕士学位论文评价数据库》中使用和在互联网上传播,同意按“章程”规定享受相关权益。论文密级:□公开□保密(___年__月至__年__月)(保密的学位论文在解密后应遵守此协议)作者签名:_______导师签名:______________年_____月_____日_______年_____月_____日14 独创声明本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。本声明的法律后果由本人承担。 作者签名:二〇一〇年九月二十日 毕业设计(论文)使用授权声明本人完全了解滨州学院关于收集、保存、使用毕业设计(论文)的规定。本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。(保密论文在解密后遵守此规定) 作者签名:二〇一〇年九月二十日14 致谢时间飞逝,大学的学习生活很快就要过去,在这四年的学习生活中,收获了很多,而这些成绩的取得是和一直关心帮助我的人分不开的。首先非常感谢学校开设这个课题,为本人日后从事计算机方面的工作提供了经验,奠定了基础。本次毕业设计大概持续了半年,现在终于到结尾了。本次毕业设计是对我大学四年学习下来最好的检验。经过这次毕业设计,我的能力有了很大的提高,比如操作能力、分析问题的能力、合作精神、严谨的工作作风等方方面面都有很大的进步。这期间凝聚了很多人的心血,在此我表示由衷的感谢。没有他们的帮助,我将无法顺利完成这次设计。首先,我要特别感谢我的知道郭谦功老师对我的悉心指导,在我的论文书写及设计过程中给了我大量的帮助和指导,为我理清了设计思路和操作方法,并对我所做的课题提出了有效的改进方案。郭谦功老师渊博的知识、严谨的作风和诲人不倦的态度给我留下了深刻的印象。从他身上,我学到了许多能受益终生的东西。再次对周巍老师表示衷心的感谢。其次,我要感谢大学四年中所有的任课老师和辅导员在学习期间对我的严格要求,感谢他们对我学习上和生活上的帮助,使我了解了许多专业知识和为人的道理,能够在今后的生活道路上有继续奋斗的力量。另外,我还要感谢大学四年和我一起走过的同学朋友对我的关心与支持,与他们一起学习、生活,让我在大学期间生活的很充实,给我留下了很多难忘的回忆。最后,我要感谢我的父母对我的关系和理解,如果没有他们在我的学习生涯中的无私奉献和默默支持,我将无法顺利完成今天的学业。四年的大学生活就快走入尾声,我们的校园生活就要划上句号,心中是无尽的难舍与眷恋。从这里走出,对我的人生来说,将是踏上一个新的征程,要把所学的知识应用到实际工作中去。回首四年,取得了些许成绩,生活中有快乐也有艰辛。感谢老师四年来对我孜孜不倦的教诲,对我成长的关心和爱护。学友情深,情同兄妹。四年的风风雨雨,我们一同走过,充满着关爱,给我留下了值得珍藏的最美好的记忆。在我的十几年求学历程里,离不开父母的鼓励和支持,是他们辛勤的劳作,无私的付出,为我创造良好的学习条件,我才能顺利完成完成学业,感激他们一直以来对我的抚养与培育。最后,我要特别感谢我的导师赵达睿老师、和研究生助教熊伟丽老师。是他们在我毕业的最后关头给了我们巨大的帮助与鼓励,给了我很多解决问题的思路,在此表示衷心的感激。老师们认真负责的工作态度,严谨的治学精神和深厚的理论水平都使我收益匪浅。他无论在理论上还是在实践中,都给与我很大的帮助,使我得到不少的提高这对于我以后的工作和学习都有一种巨大的帮助,感谢他耐心的辅导。在论文的撰写过程中老师们给予我很大的帮助,帮助解决了不少的难点,使得论文能够及时完成,这里一并表示真诚的感谢。毕业设计(论文)原创性声明和使用授权说明14 原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作者签名:     日 期:     指导教师签名:     日  期:     使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名:     日 期:     14 学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权    大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名:日期:年月日导师签名:日期:年月日14 独创声明本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。本声明的法律后果由本人承担。 作者签名:年月日 毕业设计(论文)使用授权声明本人完全了解**学院关于收集、保存、使用毕业设计(论文)的规定。本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。(保密论文在解密后遵守此规定) 作者签名:年月日14 基本要求:写毕业论文主要目的是培养学生综合运用所学知识和技能,理论联系实际,独立分析,解决实际问题的能力,使学生得到从事本专业工作和进行相关的基本训练。毕业论文应反映出作者能够准确地掌握所学的专业基础知识,基本学会综合运用所学知识进行科学研究的方法,对所研究的题目有一定的心得体会,论文题目的范围不宜过宽,一般选择本学科某一重要问题的一个侧面。毕业论文的基本教学要求是:1、培养学生综合运用、巩固与扩展所学的基础理论和专业知识,培养学生独立分析、解决实际问题能力、培养学生处理数据和信息的能力。2、培养学生正确的理论联系实际的工作作风,严肃认真的科学态度。3、培养学生进行社会调查研究;文献资料收集、阅读和整理、使用;提出论点、综合论证、总结写作等基本技能。毕业论文是毕业生总结性的独立作业,是学生运用在校学习的基本知识和基础理论,去分析、解决一两个实际问题的实践锻炼过程,也是学生在校学习期间学习成果的综合性总结,是整个教学活动中不可缺少的重要环节。撰写毕业论文对于培养学生初步的科学研究能力,提高其综合运用所学知识分析问题、解决问题能力有着重要意义。毕业论文在进行编写的过程中,需要经过开题报告、论文编写、论文上交评定、论文答辩以及论文评分五个过程,其中开题报告是论文进行的最重要的一个过程,也是论文能否进行的一个重要指标。撰写意义:14 1.撰写毕业论文是检验学生在校学习成果的重要措施,也是提高教学质量的重要环节。大学生在毕业前都必须完成毕业论文的撰写任务。申请学位必须提交相应的学位论文,经答辩通过后,方可取得学位。可以这么说,毕业论文是结束大学学习生活走向社会的一个中介和桥梁。毕业论文是大学生才华的第一次显露,是向祖国和人民所交的一份有份量的答卷,是投身社会主义现代化建设事业的报到书。一篇毕业论文虽然不能全面地反映出一个人的才华,也不一定能对社会直接带来巨大的效益,对专业产生开拓性的影响。但是,实践证明,撰写毕业论文是提高教学质量的重要环节,是保证出好人才的重要措施。2.通过撰写毕业论文,提高写作水平是干部队伍“四化”建设的需要。党中央要求,为了适应现代化建设的需要,领导班子成员应当逐步实现“革命化、年轻化、知识化、专业化”。这个“四化”的要求,也包含了对干部写作能力和写作水平的要求。3.提高大学生的写作水平是社会主义物质文明和精神文明建设的需要。在新的历史时期,无论是提高全族的科学文化水平,掌握现代科技知识和科学管理方法,还是培养社会主义新人,都要求我们的干部具有较高的写作能力。在经济建设中,作为领导人员和机关的办事人员,要写指示、通知、总结、调查报告等应用文;要写说明书、广告、解说词等说明文;还要写科学论文、经济评论等议论文。在当今信息社会中,信息对于加快经济发展速度,取得良好的经济效益发挥着愈来愈大的作用。写作是以语言文字为信号,是传达信息的方式。信息的来源、信息的收集、信息的储存、整理、传播等等都离不开写作。14 论文种类:毕业论文是学术论文的一种形式,为了进一步探讨和掌握毕业论文的写作规律和特点,需要对毕业论文进行分类。由于毕业论文本身的内容和性质不同,研究领域、对象、方法、表现方式不同,因此,毕业论文就有不同的分类方法。按内容性质和研究方法的不同可以把毕业论文分为理论性论文、实验性论文、描述性论文和设计性论文。后三种论文主要是理工科大学生可以选择的论文形式,这里不作介绍。文科大学生一般写的是理论性论文。理论性论文具体又可分成两种:一种是以纯粹的抽象理论为研究对象,研究方法是严密的理论推导和数学运算,有的也涉及实验与观测,用以验证论点的正确性。另一种是以对客观事物和现象的调查、考察所得观测资料以及有关文献资料数据为研究对象,研究方法是对有关资料进行分析、综合、概括、抽象,通过归纳、演绎、类比,提出某种新的理论和新的见解。按议论的性质不同可以把毕业论文分为立论文和驳论文。立论性的毕业论文是指从正面阐述论证自己的观点和主张。一篇论文侧重于以立论为主,就属于立论性论文。立论文要求论点鲜明,论据充分,论证严密,以理和事实服人。驳论性毕业论文是指通过反驳别人的论点来树立自己的论点和主张。如果毕业论文侧重于以驳论为主,批驳某些错误的观点、见解、理论,就属于驳论性毕业论文。驳论文除按立论文对论点、论据、论证的要求以外,还要求针锋相对,据理力争。14 按研究问题的大小不同可以把毕业论文分为宏观论文和微观论文。凡届国家全局性、带有普遍性并对局部工作有一定指导意义的论文,称为宏观论文。它研究的面比较宽广,具有较大范围的影响。反之,研究局部性、具体问题的论文,是微观论文。它对具体工作有指导意义,影响的面窄一些。另外还有一种综合型的分类方法,即把毕业论文分为专题型、论辩型、综述型和综合型四大类:1.专题型论文。这是分析前人研究成果的基础上,以直接论述的形式发表见解,从正面提出某学科中某一学术问题的一种论文。如本书第十二章例文中的《浅析领导者突出工作重点的方法与艺术》一文,从正面论述了突出重点的工作方法的意义、方法和原则,它表明了作者对突出工作重点方法的肯定和理解。2.论辩型论文。这是针对他人在某学科中某一学术问题的见解,凭借充分的论据,着重揭露其不足或错误之处,通过论辩形式来发表见解的一种论文。3.综述型论文。这是在归纳、总结前人或今人对某学科中某一学术问题已有研究成果的基础上,加以介绍或评论,从而发表自己见解的一种论文。4.综合型论文。这是一种将综述型和论辩型两种形式有机结合起来写成的一种论文。如《关于中国民族关系史上的几个问题》一文既介绍了研究民族关系史的现状,又提出了几个值得研究的问题。因此,它是一篇综合型的论文。写作步骤:毕业论文是高等教育自学考试本科专业应考者完成本科阶段学业的最后一个环节,它是应考者的总结性独立作业,目的在于总结学习专业的成果,培养综合运用所学知识解决实际问题的能力。从文体而言,它也是对某一专业领域的现实问题或理论14 问题进行科学研究探索的具有一定意义的论说文。完成毕业论文的撰写可以分两个步骤,即选择课题和研究课题。首先是选择课题。选题是论文撰写成败的关键。因为,选题是毕业论文撰写的第一步,它实际上就是确定“写什么”的问题,亦即确定科学研究的方向。如果“写什么”不明确,“怎么写”就无从谈起。教育部自学考试办公室有关对毕业论文选题的途径和要求是“为鼓励理论与工作实践结合,应考者可结合本单位或本人从事的工作提出论文题目,报主考学校审查同意后确立。也可由主考学校公布论文题目,由应考者选择。毕业论文的总体要求应与普通全日制高等学校相一致,做到通过论文写作和答辩考核,检验应考者综合运用专业知识的能力”。但不管考生是自己任意选择课题,还是在主考院校公布的指定课题中选择课题,都要坚持选择有科学价值和现实意义的、切实可行的课题。选好课题是毕业论文成功的一半。第一、要坚持选择有科学价值和现实意义的课题。科学研究的目的是为了更好地认识世界、改造世界,以推动社会的不断进步和发展14 。因此,毕业论文的选题,必须紧密结合社会主义物质文明和精神文明建设的需要,以促进科学事业发展和解决现实存在问题作为出发点和落脚点。选题要符合科学研究的正确方向,要具有新颖性,有创新、有理论价值和现实的指导意义或推动作用,一项毫无意义的研究,即使花很大的精力,表达再完善,也将没有丝毫价值。具体地说,考生可从以下三个方面来选题。首先,要从现实的弊端中选题,学习了专业知识,不能仅停留在书本上和理论上,还要下一番功夫,理论联系实际,用已掌握的专业知识,去寻找和解决工作实践中急待解决的问题。其次,要从寻找科学研究的空白处和边缘领域中选题,科学研究。还有许多没有被开垦的处女地,还有许多缺陷和空白,这些都需要填补。应考者应有独特的眼光和超前的意识去思索,去发现,去研究。最后,要从寻找前人研究的不足处和错误处选题,在前人已提出来的研究课题中,许多虽已有初步的研究成果,但随着社会的不断发展,还有待于丰富、完整和发展,这种补充性或纠正性的研究课题,也是有科学价值和现实指导意义的。第二、要根据自己的能力选择切实可行的课题。毕业论文的写作是一种创造性劳动,不但要有考生个人的见解和主张,同时还需要具备一定的客观条件。由于考生个人的主观、客观条件都是各不相同的,因此在选题时,还应结合自己的特长、兴趣及所具备的客观条件来选题。具体地说,考生可从以下三个方面来综合考虑。首先,要有充足的资料来源。“巧妇难为无米之炊”,在缺少资料的情况下,是很难写出高质量的论文的。选择一个具有丰富资料来源的课题,对课题深入研究与开展很有帮助。其次,要有浓厚的研究兴趣,选择自己感兴趣的课题,可以激发自己研究的热情,调动自己的主动性和积极性,能够以专心、细心、恒心和耐心的积极心态去完成。最后,要能结合发挥自己的业务专长,每个考生无论能力水平高低,工作岗位如何,都有自己的业务专长,选择那些能结合自己工作、发挥自己业务专长的课题,对顺利完成课题的研究大有益处。14 致谢这次论文的完成,不止是我自己的努力,同时也有老师的指导,同学的帮助,以及那些无私奉献的前辈,正所谓你知道的越多的时候你才发现你知道的越少,通过这次论文,我想我成长了很多,不只是磨练了我的知识厚度,也使我更加确定了我今后的目标:为今后的计算机事业奋斗。在此我要感谢我的指导老师——***老师,感谢您的指导,才让我有了今天这篇论文,您不仅是我的论文导师,也是我人生的导师,谢谢您!我还要感谢我的同学,四年的相处,虽然我未必记得住每分每秒,但是我记得每一个有你们的精彩瞬间,我相信通过大学的历练,我们都已经长大,变成一个有担当,有能力的新时代青年,感谢你们的陪伴,感谢有你们,这篇论文也有你们的功劳,我想毕业不是我们的相处的结束,它是我们更好相处的开头,祝福你们!我也要感谢父母,这是他们给我的,所有的一切;感谢母校,尽管您不以我为荣,但我一直会以我是一名农大人为荣。通过这次毕业设计,我学习了很多新知识,也对很多以前的东西有了更深的记忆与理解。漫漫求学路,过程很快乐。我要感谢信息与管理科学学院的老师,我从他们那里学到了许多珍贵的知识和做人处事的道理,以及科学严谨的学术态度,令我受益良多。同时还要感谢学院给了我一个可以认真学习,天天向上的学习环境和机会。即将结束*大学习生活,我感谢****大学提供了一次在**大接受教育的机会,感谢院校老师的无私教导。感谢各位老师审阅我的论文。14

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
大家都在看
近期热门
关闭