数电实验报告 典型时序逻辑电路分析与设计实验

数电实验报告 典型时序逻辑电路分析与设计实验

ID:15020068

大小:304.00 KB

页数:9页

时间:2018-07-31

数电实验报告 典型时序逻辑电路分析与设计实验_第1页
数电实验报告 典型时序逻辑电路分析与设计实验_第2页
数电实验报告 典型时序逻辑电路分析与设计实验_第3页
数电实验报告 典型时序逻辑电路分析与设计实验_第4页
数电实验报告 典型时序逻辑电路分析与设计实验_第5页
资源描述:

《数电实验报告 典型时序逻辑电路分析与设计实验》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、《数字电子技术》课程实验报告实验四:典型时序逻辑电路分析与设计实验1、实验目的1、掌握中规模数字集成电路同步计数器的基本工作原理和使用方法。2、理解中规模数字集成电路同步计数器的基本工作特性。3、掌握用中规模数字集成电路同步计数器实现任意进制计数器的基本方法。4、了解同步计数器基本调试方法。2、实验任务1、4位二进制加法计数器74LS163功能分析(参考实验教材P356)(1)参考图6.7.1,在实验板创建4位二进制加法计数器74LS163功能分析电路:①计数;②清零;③保持功能。图6.7.1(2)将检测结果记入自

2、拟的表格中。(3)将上电路改为在计数工作状态,输入时钟为10kHz。利用双踪示波器,观察输出波形与输入时钟时序关系,记录输出与输入时钟波形关系(至少一个周期以上)。(4)选用器件:74LS163(4位二进制加法计数器)。(5)选用仪器仪表:直流稳压电源+5V、脉冲信号发生器、发光二极管、双踪示波器。2、4位二进制加法计数器74LS163的应用1(参考实验教材P358)(1)采用4位二进制加法计数器74LS163和反馈归零法,设计十进制计数器电路。(2)自拟实验方案,记录所设计的计数器有效状态转换。(3)将上电路输入

3、时钟改为10kHz,利用双踪示波器,观察输出波形与输入时钟时序关系,记录输出与输入时钟波形关系。(注:至少一个周期以上)(4)仪器仪表:直流稳压电源、数字万用表、发光二极管、脉冲信号发生器、双踪示波器。(5)元器件:74LS163(4位二进制加法计数器)、74LS20(双4输入与非门)。3、4位二进制加法计数器74LS163的应用2(参考实验教材P358)(1)采用4位二进制加法计数器74LS163和反馈置数法,设计十二进制计数器电路。(2)自拟实验方案,记录所设计的计数器有效状态转换。(3)将上电路输入时钟改为1

4、0kHz,利用双踪示波器,观察输出波形与输入时钟时序关系,记录输出与输入时钟波形关系。(注:至少一个周期以上)(4)仪器仪表:直流稳压电源、数字万用表、发光二极管、脉冲信号发生器、双踪示波器。(5)元器件:74LS163(4位二进制加法计数器)、74LS20(双4输入与非门)。4、十进制加法计数器74LS160功能分析(参考实验教材P359)(1)选用器件:74LS160(十进制加法计数器)。(2)选用仪器仪表:直流稳压电源+5V、脉冲信号发生器、发光二极管、双踪示波器。(3)参考图6.7.1,在实验板创建十进制加

5、法计数器74LS160功能分析电路:①计数;②清零;③保持功能。(4)将检测结果记入自拟的表格中。(5)将上电路改为在计数工作状态,输入时钟为10kHz。利用双踪示波器,观察输出波形与输入时钟时序关系,记录输出与输入时钟波形关系。(注:至少一个周期以上)3、实验基本原理1、4位二进制加法计数器74LS163功能分析(1)是同步4位二进制加法计数器,M=16,CP上升沿触发(2)既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用清除信号的

6、低电平把四个触发器的输出置为低电平。(3)同步预置方式:当=0时,在CP作用下,计数器可并行打入预置数据。(4)当=1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。PT任一为低时,计数器处于保持状态。(5)CO为进位输出,可用来级联成几位同步计数器。真值表输入输出CRCPLDEPETD3D2D1D0Q3Q2Q1Q00↑xxxxxxx00001↑0xxDCBADCBA1↑10xxxxxQ3Q2Q1Q01↑1x0xxxxQ3Q2Q1Q01↑111xxxx状态码加12、十进制加法计数器74LS160功能分析(

7、1)异步清零当(CLR’)=0时,不管其他输入端的状态如何(包括时钟信号CP),计数器输出将被直接置零,称为异步清零。(2)同步并行预置数在=1的条件下,当(LOAD’)=0、且有时钟脉冲CP的上升沿作用时,D0、D1、D2、D3输入端的数据将分别被Q0~Q3所接收。由于这个置数操作要与CP上升沿同步,且D0、D1、D2、D3的数据同时置入计数器,所以称为同步并行置数。(3)保持在==1的条件下,当ENT=ENP=0,即两个计数使能端中有0时,不管有无CP脉冲作用,计数器都将保持原有状态不变(停止计数)。需要说明的

8、是,当ENP=0,ENT=1时,进位输出C也保持不变;而当ENT=0时,不管ENP状态如何,进位输出RCO=0。(4)计数当==ENP=ENT=1时,74161处于计数状态,电路从0000状态开始,连续输入16个计数脉冲后,电路将从1111状态返回到0000状态,RCO端从高电平跳变至低电平。可以利用RCO端输出的高电平或下降沿作为进位输出信号。输入输出CP

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。