EDA技术第10讲时序逻辑电路设计ppt课件.ppt

EDA技术第10讲时序逻辑电路设计ppt课件.ppt

ID:59420221

大小:156.00 KB

页数:30页

时间:2020-09-19

EDA技术第10讲时序逻辑电路设计ppt课件.ppt_第1页
EDA技术第10讲时序逻辑电路设计ppt课件.ppt_第2页
EDA技术第10讲时序逻辑电路设计ppt课件.ppt_第3页
EDA技术第10讲时序逻辑电路设计ppt课件.ppt_第4页
EDA技术第10讲时序逻辑电路设计ppt课件.ppt_第5页
资源描述:

《EDA技术第10讲时序逻辑电路设计ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、时序逻辑电路设计1、JK触发器设计2、异步3位2进制加法计数器设计3、同步十进制可逆计数器设计4、同步60进制计数器设计5、启动/暂停按键电路设计7序列信号发生器设计6移位寄存器设计8序列检测器设计1、JK触发器设计libraryieee;useieee.std_logic_1164.all;entityjkffisport(j,k,ck,cl:instd_logic;q,nq:outstd_logic);end;architecturebhofjkffissignalq_tmp:std_logic;beginprocess(cl,ck)begi

2、nifcl='1'thenq_tmp<='0';elsifck'eventandck='1'thenif(j='1'andk='0')thenq_tmp<='1';elsif(j='0'andk='1')thenq_tmp<='0';elsif(j='1'andk='1')thenq_tmp<=notq_tmp;endif;endif;endprocess;q<=q_tmp;nq<=notq_tmp;end;CL的功能???逻辑功能仿真2、异步3位2进制加法计数器设计第一步:底层文件制作,做一个带有异步清零,置数功能的JK触发器,具体实现代码如下

3、:libraryieee;useieee.std_logic_1164.all;entityjkff_uisport(cp,j,k,prn,clrn:instd_logic;q,nq:outstd_logic);end;实体部分architecturebhofjkff_uissignalq_tmp,nq_tmp:std_logic;beginprocess(cp,j,k,prn,clrn)beginifclrn='0'thenq_tmp<='0';nq_tmp<='1';elsifprn='0'thenq_tmp<='1';nq_tmp<='0'

4、;elsifcp'eventandcp='1'thenif(j='0'andk='1')thenq_tmp<='0';nq_tmp<='1';elsif(j='1'andk='0')thenq_tmp<='1';nq_tmp<='0';elsif(j='1'andk='1')thenq_tmp<=notq_tmp;nq_tmp<=notnq_tmp;endif;endif;endprocess;q<=q_tmp;nq<=notq_tmp;end;底层文件功能实现部分设计第二步顶层文件设计:思路分析:首先要把JK触发器接成计数型触发器(T’触发器)

5、,然后再用前一级的反向输出端接上后一级的时钟端即可.实体部分libraryieee;useieee.std_logic_1164.all;entityjsq_jkisport(prn,cp,clrn:instd_logic;q0,q1,q2:outstd_logic);end;architecturebhofjsq_jkiscomponentjkff_uport(cp,j,k,prn,clrn:instd_logic;q,nq:outstd_logic);endcomponent;signalq_jk,cp0,cp1,cp2,cp3:std_lo

6、gic;beginq_jk<='1';cp0<=cp;u0:jkff_uportmap(cp0,q_jk,q_jk,prn,clrn,q0,cp1);u1:jkff_uportmap(cp1,q_jk,q_jk,prn,clrn,q1,cp2);u2:jkff_uportmap(cp2,q_jk,q_jk,prn,clrn,q2,cp3);end;元件定义部分元件例化部分怎样用generate语句实现??实例3libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all

7、;entitycount_10isport(clk,rst,load,plus_sub:instd_logic;din:instd_logic_vector(3downto0);dout:bufferstd_logic_vector(3downto0));end;architecturebhofcount_10isbeginprocess(clk,rst,load,plus_sub,din)beginif(clk'eventandclk='1')thenif(rst='1')thendout<=(others=>'0');elsif(load='

8、1')thendout<=din;elsif(plus_sub='1')thenif(dout=9)thendout<="0000";e

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。