第10讲 VHDL语言时序逻辑电路设计 讲稿课件.ppt

第10讲 VHDL语言时序逻辑电路设计 讲稿课件.ppt

ID:58449912

大小:687.00 KB

页数:58页

时间:2020-09-07

第10讲 VHDL语言时序逻辑电路设计 讲稿课件.ppt_第1页
第10讲 VHDL语言时序逻辑电路设计 讲稿课件.ppt_第2页
第10讲 VHDL语言时序逻辑电路设计 讲稿课件.ppt_第3页
第10讲 VHDL语言时序逻辑电路设计 讲稿课件.ppt_第4页
第10讲 VHDL语言时序逻辑电路设计 讲稿课件.ppt_第5页
资源描述:

《第10讲 VHDL语言时序逻辑电路设计 讲稿课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术实用教程第10讲VHDL语言语言时序逻辑电路设计时钟的描述方法上升沿:CP’EVENTANDCP=‘1’下升沿:CP’EVENTANDCP=‘0’CP=0CP=0CP=1CP=1CP’EVENTCP’EVENTCP’EVENT任务1:边沿D触发器1.任务分析触发器:(Flip-Flop)能存储一位二进制信号的基本单元。用FF表示。触发器是构成时序逻辑电路的基本逻辑部件。特点:1.有两个稳定状态,用0和1表示;2.输入信号可改变其状态,且输入信号撤消后,其改变后的状态可保留下来。分类:按电路结构分:基本RSFF、同步FF、主从FF、边

2、沿FF(包括维持-阻塞FF、CMOS边沿FF等)。其中,基本RSFF无时钟信号,其他均有时钟信号。按逻辑功能分:RS触发器、D触发器、JK触发器、T和T´触发器。D触发器描述以边沿D触发器为例:边沿触发器的次态只取决于时钟信号上升沿(或下降沿)到达时刻的输入信号的状态。边沿D触发器特性表CPD功能↓或0或1×保持↑00置0↑11置1(上升沿有效)边沿D触发器的特性方程:D触发器描述2.任务实施完成边沿D触发器的文本编辑:libraryieee;useieee.std_logic_1164.all;entityd_ffisport(cp:ins

3、td_logic;d:instd_logic;q:bufferstd_logic);endd_ff;D触发器描述architectureoneofd_ffisbeginprocess(cp,d)beginifcp'eventandcp='1'thenq<=d;endif;endprocess;endone;D触发器描述仿真测试D触发器描述上升沿D触发器描述方法一:使用信号属性函数LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYD_regISPORT(D,CP:INSTD_LOGIC;Q:OUTSTD_

4、LOGIC);ENDD_reg;ARCHITECTUREtestOFD_regISBEGINPROCESS(CP)BEGINIF(CP’EVENTANDCP=‘1’)THENQ<=D;ENDPROCESS;ENDtest;方法二:使用WAIT语句LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYD_regISPORT(D,CP:INSTD_LOGIC;Q:OUTSTD_LOGIC);ENDD_reg;ARCHITECTUREtestOFD_regISBEGINPROCESSBEGINWAITUNTILC

5、P=‘1’;Q<=D;ENDPROCESS;ENDtest;上升沿D触发器描述方法三:使用上升沿检测函数LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYD_regISPORT(D,CP:INSTD_LOGIC;Q:OUTSTD_LOGIC);ENDD_reg;ARCHITECTUREtestOFD_regISBEGINPROCESS(cp)BEGINIF(rising_edge(cp))THENQ<=D;ENDIF;ENDPROCESS;ENDtest;上升沿D触发器描述方法四:使用进程的启动特性LI

6、BRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYD_regISPORT(D,CP:INSTD_LOGIC;Q:OUTSTD_LOGIC);ENDD_reg;ARCHITECTUREtestOFD_regISBEGINPROCESS(CP)BEGINIFCP=‘1’THENQ<=D;ENDIF;ENDPROCESS;ENDtest;上升沿D触发器描述上升沿D触发器描述下降沿D触发器描述方法一:使用信号属性函数LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYD_reg

7、ISPORT(D,CP:INSTD_LOGIC;Q:OUTSTD_LOGIC);ENDD_reg;ARCHITECTUREtestOFD_regISBEGINPROCESS(CP)BEGINIF(CP’EVENTANDCP=‘0’)THENQ<=D;ENDPROCESS;ENDtest;方法二:使用WAIT语句LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYD_regISPORT(D,CP:INSTD_LOGIC;Q:OUTSTD_LOGIC);ENDD_reg;ARCHITECTUREtestOFD

8、_regISBEGINPROCESSBEGINWAITUNTILCP=‘0’;Q<=D;ENDPROCESS;ENDtest;下降沿D触发器描述方法三:使用下降沿检

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。