基于VHDL和FPGA的数字系统设计方法ppt课件.ppt

基于VHDL和FPGA的数字系统设计方法ppt课件.ppt

ID:59323930

大小:1.69 MB

页数:33页

时间:2020-09-20

基于VHDL和FPGA的数字系统设计方法ppt课件.ppt_第1页
基于VHDL和FPGA的数字系统设计方法ppt课件.ppt_第2页
基于VHDL和FPGA的数字系统设计方法ppt课件.ppt_第3页
基于VHDL和FPGA的数字系统设计方法ppt课件.ppt_第4页
基于VHDL和FPGA的数字系统设计方法ppt课件.ppt_第5页
资源描述:

《基于VHDL和FPGA的数字系统设计方法ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、一、VHDL的程序结构及软件操作三、常用VHDL数据类型与命令语句四、组合电路设计实例ALU五、时序电路设计六、综合实例设计基于VHDL和FPGA的数字系统设计方法七、学习参考二、FPGA/CPLD一、VHDL的程序结构及软件操作VHDL是VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage的英文缩写,是一种快速的电路设计工具,功能涵盖了电路描述、电路合成、电路仿真等三大电路设计工作。85年完成第一版的硬件描述语言,87年成为IEEE标准,即IEEE1076标准;93年增修为IEEE1164标准;96

2、年IEEE又将电路合成的标准程序与规格加入VHDL语言中,称为IEEE1076.3标准。1、VHDL2、VHDL的程序结构图3、VHDL程序基本结构libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_ARITH.all;useIEEE.std_logic_UNSIGNED.all;entitynand2isport(a:inSTD_LOGIC;b:inSTD_LOGIC;y:outSTD_LOGIC);endnand2;architecturenand2_archofnand2isbeginy<=an

3、andb;endnand2_arch;由于VHDL已是IEEE规定的标准,所以只要是CPLD、FPGA等芯片公司,它们都会提供这个标准的定义库(LibraryIEEE),而且由于这里面写了许许多多的定义和参数,初学者一时也不容易全部了解,所以全部写上以减轻负担。表示打开IEEE库表示使用这三个程序包4、Maxplus2软件操作(1)编写VHDL程序(使用TextEdiror)(2)编译VHDL程序(使用TextCompiler)(3)仿真验证VHDL程序(使用WaveformEdiror和Simulator)(4)芯片的时序分析(使用TimingAnalyzer

4、)(5)安排芯片管脚位置(使用FloorplanEditor)(6)如何下载程序至芯片(使用Programmer)基本PLD器件的原理结构图二、FPGA/CPLDFPGA-FieldProgrammableGateArrayCPLD-ComplexProgrammableLogicDeviceCPLD结构逻辑阵列块(LAB)MAX7128S的结构可编程连线阵列(PIA)FLEX10K内部结构...IOCIOCIOCIOC......IOCIOC...IOCIOC...IOCIOC...IOCIOC逻辑单元...IOCIOC...IOCIOCIOCIOC...快速

5、通道互连逻辑阵列块(LAB)IOCIOC...FPGA结构FPGA与CPLD具有类似之处,总体看来它们都是由以下三个部分组成的:(1)输入、输出单元;(2)逻辑块阵列;(3)用于连接逻辑块的互连资源。FPGA与CPLD的比较分析尽管CPLD和FPGA同属于可编程逻辑器件,都具有用户现场可编程特性,都支持边界扫描技术,但由于CPLD和FPGA在结构上的不同,决定了它们在性能上各有特点:1、FPGA可达到比CPLD更高的集成度,同时具有更复杂的布线结构和逻辑实现。2、FPGA更适合触发器丰富的结构,CPLD更适合触发器有限而积项丰富的结构。3、CPLD通过修改具有固

6、定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程。FPGA可在逻辑门下编程,CPLD是在逻辑块下编程,故FPGA比CPLD具有更大的灵活性。4、一般情况下CPLD的功耗比FPGA大,且集成度越高越明显。5、由于FPGA是门级编程,CPLD是逻辑块级编程,因此CPLD比FPGA有较高的速度和较大的时间可预测性。6、目前的CPLD主要基于EEPROM或FLASH存储器编程,编程次数达1万次,优点是系统断电后编程信息不丢失。CPLD又可分为在编程器上编程和在系统编程(ISP)。FPGA大部分是基于SRAM编程,缺点是断电数据丢失,每次上电时需从器件

7、的外部存储器将编程数据写入SRAM中;优点是可进行任意次数的编程,并可在工作中快速编程,实现板级和系统级的动态配置,即在线重配置。在实际应用中选择CPLD还是FPGA,要综合考虑两者的特点及所应用的场合。CPLD和FPGA各有所长:CPLD逻辑块大,适合控制逻辑、译码逻辑、时序逻辑;FPGA逻辑单元小、密度高、数据通道资源丰富,适合需要多寄存器的复杂运算场合。三、常用VHDL数据类型与命令语句(1)逻辑信号*布尔代数信号TypeBOOLEANis(FALSE,TRUE)*位(Bit)信号TypeBITis(‘0’,’1’)*标准逻辑(STD_Logic)Type

8、STD_Logicis(

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。