EDA技术实验教案2011.doc

EDA技术实验教案2011.doc

ID:59006771

大小:392.00 KB

页数:29页

时间:2020-09-15

EDA技术实验教案2011.doc_第1页
EDA技术实验教案2011.doc_第2页
EDA技术实验教案2011.doc_第3页
EDA技术实验教案2011.doc_第4页
EDA技术实验教案2011.doc_第5页
资源描述:

《EDA技术实验教案2011.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、教案2011~2012学年第1学期系(部)室电子与通信工程系课程名称EDA技术及应用(实验)专业、年级、班级09电气、08通信专业主讲教师张文希长沙学院教务处教案编写说明长沙学院教案编号:1(累积2课时)课时安排:2学时教学课型:实验课题目:实验一简单逻辑电路实验教学目的要求:运用QuartusII集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证,并分析其波形。教学重点、难点:重点:QuartusII软件平台和使用VHDL语言设计电路的方法难点:波形仿真、分析教学方式、手段、媒介:讲授、多媒体、示范教

2、学过程:一、实验目的1.学习Create-SOPC实验平台的使用方法;2.熟悉QuartusII软件平台和使用VHDL语言设计电路的方法;3.学习简单逻辑电路的设计、仿真和硬件测试。二、实验内容运用QuartusII集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证。三、实验步骤及参考程序1.EDA实验箱介绍和使用2.创建个人实验文件夹(最好使用英文字母命名不要用中文或数字名称)如:D:example3.运行QuartusⅡ软件。4.创建一个VHDL设计文件:File->New,在DeviceDes

3、ignFiles中选择VHDLFile。在程序编辑窗输入VHDL程序并保存;在弹出对话框中输入文件名(必须和程序中实体名相同)并使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图1-1所示。图1-1图1-21.创建一个新工程:点击图1-1中“是”可进入创建工程向导(也可以File->NewprojectWizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder(其中工程名可换名但顶层实体名必与编写的程序实体名一致),如图1-2。点击NEXT,再在弹出窗中点击NEXT,选择目标器件:Cyclone系列EP1C20F324

4、C8芯片,如图1-3。图1-32.编译:选择Processing->StartCompilation命令,对此工程进行逻辑分析、综合适配、时序分析等。如果设计正确则完全通过各种编译,如果有错误则根据报错信息返回h_adder.vhd进行修改,直至完全通过编译为止。3.创建一个仿真波形文件:File->New,在OtherFiles中选择VectorWaveformFile,如图1-4,进入波形编辑窗口。图1-4图1-54.以扩展名为.VWF,文件名与编译的文件名相同,保存创建的仿真波形文件,如h_adder.vwf。5.输入引脚:Name栏中点击鼠标右

5、键,选择“InsertNodeorBus”,在其对话框中点击“NodeFinder”按钮,弹出“NodeFinder”对话框,Named:*;Filter:Pin:all,点击“List”,列出所有引脚,在其中选择需要仿真的引脚,如图1-5所示。它们将在波形编辑窗口中出现。图1-6图1-71.对所有input引脚赋初值(所有可能出现的信号组合状态都要求存在),对所有output引脚赋随机值后,保存仿真波形文件,如图1-6所示。2.开始仿真:选择Processing->StartSimulation若仿真没有出错,则在完成仿真后,可以看到仿真结果波形,观

6、察输入、输出波形逻辑关系是否是与设计要求相符,波形仿真无误后进行下步操作。3.选择Assignments->AssignmentsEditor,在AssignmentsEditor窗口中选择Pin标签页,再按下表分配引脚,如图1-7所示:引脚名称引脚编号连接网络引脚名称引脚编号连接网络aPIN_N14KEY2coPIN_N15LED2bPIN_V11KEY3soPIN_U11LED34.重编译:选择Processing->StartCompilation命令,重新编译,完成后形成可配置到FPGA的h_adder.sof文件和配置到外部存储器的h_add

7、er.pof文件。5.编程下载:使用Create-SOPC2000实验系统及SOPC开发板,将ByteBlasterII下载电缆插入SOPC开发板的JTAG下载接口中。仔细检查确保接线无误后打开电源。在QuartusII软件中,选择Tools/Programmer菜单,出现如图1-8所示的编程配置界面。在”Mode”中选择JTAG,点击”AddFile”按钮添加需要配置的SOF文件,选中Program/Configure,点击”Start”按钮就可以对芯片进行配置。6.测试:配置完成后按动KEY2和KEY3,改变a、b的输入电平观察输出结果验证设计是否

8、正确。参考程序如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.AL

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。