Verilog-HDL常用时序电路设计指导课件.ppt

Verilog-HDL常用时序电路设计指导课件.ppt

ID:57383933

大小:115.50 KB

页数:25页

时间:2020-08-14

Verilog-HDL常用时序电路设计指导课件.ppt_第1页
Verilog-HDL常用时序电路设计指导课件.ppt_第2页
Verilog-HDL常用时序电路设计指导课件.ppt_第3页
Verilog-HDL常用时序电路设计指导课件.ppt_第4页
Verilog-HDL常用时序电路设计指导课件.ppt_第5页
资源描述:

《Verilog-HDL常用时序电路设计指导课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、常用时序电路设计指导温国忠主要内容触发器设计移位寄存器设计计数器设计D触发器设计上升沿触发的D触发器异步复位上升沿触发的D触发器异步置位上升沿触发的D触发器异步复位和置位上升沿触发的D触发器同步复位上升沿触发的D触发器同步置位上升沿触发的D触发器带异步复位和时钟使然、上升沿触发的D触发器/******************************************上升沿触发的D触发器参考设计******************************************/moduledff_1(data,clk,q);inputdata,clk;output

2、q;regq;always@(posedgeclk)q<=data;endmodule/******************************************异步复位、上升沿触发的D触发器******************************************/moduledff_2(data,clk,reset,q);inputdata,clk,reset;outputq;regq;always@(posedgeclkornegedgereset)beginif(reset==1’b0)q<=1’b0;elseq<=data;endendmo

3、dule/******************************************异步置位、上升沿触发的D触发器******************************************/moduledff_3(data,clk,pset,q);inputdata,clk,pset;outputq;regq;always@(posedgeclkornegedgepset)beginif(pset==1’b0)q<=1’b1;elseq<=data;endendmodule/**************************************

4、****异步复位和置位、上升沿触发的D触发器******************************************/moduledff_3(data,clk,pset,reset,q);inputdata,clk,reset,pset;outputq;regq;always@(posedgeclkornegedgeresetornegedgepset)beginif(reset==1’b0)q<=1’b0;elseif(pset==1’b0)q<=1’b1;elseq<=data;endendmodule/*************************

5、*****************同步复位、上升沿触发的D触发器******************************************/moduledff_2(data,clk,reset,q);inputdata,clk,reset;outputq;regq;always@(posedgeclk)beginif(reset==1’b0)q<=1’b0;elseq<=data;endendmodule/******************************************同步置位、上升沿触发的D触发器*******************

6、***********************/moduledff_3(data,clk,pset,q);inputdata,clk,pset;outputq;regq;always@(posedgeclk)beginif(pset==1’b0)q<=1’b1;elseq<=data;endendmodule/******************************************异步复位和时钟使然、上升沿触发的D触发器******************************************/moduledff_3(data,clk,en,res

7、et,q);inputdata,clk,reset,en;outputq;regq;always@(posedgeclkornegedgereset)beginif(reset==1’b0)q<=1’b0;elseif(en==1’b1)q<=data;endendmoduleShiftRegister移位寄存器是一种在时钟脉冲的作用下,将寄存器中的数据按位移动的逻辑电路主要功能:串并转换串行输入串行输出串行输入并行输出并行输入串行输出串入串出移位寄存器基本串入串出移位寄存器原理图8位移位寄存器由8个D触发器串联构

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。