EDA实验 用文本输入法设计门电路.doc

EDA实验 用文本输入法设计门电路.doc

ID:56785531

大小:433.50 KB

页数:6页

时间:2020-07-11

EDA实验  用文本输入法设计门电路.doc_第1页
EDA实验  用文本输入法设计门电路.doc_第2页
EDA实验  用文本输入法设计门电路.doc_第3页
EDA实验  用文本输入法设计门电路.doc_第4页
EDA实验  用文本输入法设计门电路.doc_第5页
资源描述:

《EDA实验 用文本输入法设计门电路.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验2.用文本输入法设计门电路实验目的:1.进一步熟悉MAX+plus2软件,学习用文本输入法设计门电路;2.进一步熟悉CPLD数字电路设计流程;3.学习初步的VHDL程序设计方法。实验器材:PC,可编程逻辑实验电路板,下载线,USB电源线,双踪示波器,数字万用表,导线若干。实验内容:1.在MAX+plus2环境下,用VHDL语言按照输入,编译,仿真,分配管脚,编译,下载的步骤实现如图所示的基本门电路。(1)F=ab(2)F=a+b;(3)F=(ab非)(4)F=(a+b)的非(5)F=a同或b;(6)F=a异或b;2.在MAX+plus2环境下,用VHDL语言描述下列逻辑电路,并

2、编译,仿真,下载;(1)F=a的非bc+d;(2)F=(a的非与b+c与d的非)的非+a;实验注意:1.为新建的工程单独建立一个文件夹,所有与该工程相关的文件都保存在这个文件下,路径上不能有汉字。源代码文件主文件名必须与实体名一致,且文件后缀名是“.vhd”。1.编译时要注意将准备编译的文件设为当前工程。2.分配完器件管脚后,要先编译在下载。3.建立功能仿真波形时,要穷尽输入信号的所有逻辑组合情况。4.下载后测试电路功能时,要验证真值表里所有逻辑组合情况。实验心得:这次的实验非常像C语言编程,也是编写代码,然后进行编译,下载。但是VHDL语言仍旧和C语言有着很多不同的地方。看似不简

3、单的语句却包含了清晰地逻辑关系,这次我们了解了用代码来实现基本的电路,知道了与,或,非,异或,同或等的运算符是什么,在上节课的基础上明白了文本输入的代码的运行与实现,看到自己签字实验的结果真的挺高兴的呢。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。