《EDA设计实习》报告--基于VHDL文本输入法的电子密码锁的设计

《EDA设计实习》报告--基于VHDL文本输入法的电子密码锁的设计

ID:35651103

大小:167.00 KB

页数:13页

时间:2019-04-06

《EDA设计实习》报告--基于VHDL文本输入法的电子密码锁的设计_第1页
《EDA设计实习》报告--基于VHDL文本输入法的电子密码锁的设计_第2页
《EDA设计实习》报告--基于VHDL文本输入法的电子密码锁的设计_第3页
《EDA设计实习》报告--基于VHDL文本输入法的电子密码锁的设计_第4页
《EDA设计实习》报告--基于VHDL文本输入法的电子密码锁的设计_第5页
资源描述:

《《EDA设计实习》报告--基于VHDL文本输入法的电子密码锁的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、广东海洋大学《EDA设计实习》报告姓名谭瑞云学院(系)信息学院电子系专业名称班级1071学号200711611118课程设计内容基于VHDL文本输入法的电子密码锁的设计时间2010年5月成绩:教师签名:13本课程设计目录:<1><2><3><4><5>13一、课程设计的目的:本课程设计主要是基于VHDL文本输入法设计电子密码锁,随着社会物质财富的日益增长,安全防盗已成为全社会关注的问题。基于EDA技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。而以现场可编程逻辑器件(FPGA)为设计

2、载体,以硬件描述语言(VHDE)为主要表达方式,以QuartusⅡ开发软件和GW48EDA开发系统为设计工具设计的电子密码锁,由于其能够实现数码输入、数码清除、密码解除、密码更改、密码上锁和密码解除等功能,因此,能够满足社会对安全防盗的要求。二、系统设计实现的基本功能(1)密码输入:每按下一个数字键,就输入一个数值,并在显示器上显示出该数值。同时将先前输入的数据依次左移一个数字位置。(2)密码清除:按下清除键可清除前面所有的输入值,清除成为“0000”。(3)密码更改:按下更改键可将目前数据设定为新的密码。(4)

3、密码上锁:按下上锁键可将密码锁上锁。(5)密码解除:按下解除键首先检查输入的密码是否正确,密码正确即解锁。三、电子密码锁的结构原理根据系统设计要求,系统设计采用自顶向下的设计方法。3.1电子密码锁的整体结构电子密码锁的整体结构如图1所示,它包括密码锁输入模块、控制模块和显示模块等。原理图:13图1《1》密码锁输入模块密码锁的输入模块由时序产生电路、键盘扫描电路、键盘译码电路和按键存储电路组成。如下图示:时序产生电路键盘扫描电路按键数据缓存器键盘弹跳消除电路键盘译码电路图2a.时序产生电路产生电路中使用的三种不同频

4、率的工作脉冲波形,即系统时钟脉冲、弹跳消除取样信号和键盘扫描信号。b.键盘扫描电路扫描电路的作用是提供键盘扫描信号,扫描信号变化顺序依次是1110—1101—1011—0111—1110.-----依序地周而复始。c.键盘译码电路上述键盘中的按键分为数字按键和文字按键,每一个按键可能负责不同的功能,例如清除键、上锁键和解锁键等。数字按键主要是用来输入数字的,但是键盘所产生的输出是无法直接拿来用作密码锁控制电路的输入的;另外,不同的按键具有不同的功能,所以必须由键盘译码电路来规划每个按键的输出形式,以便执行相应的动

5、作。d.按键存储电路因为每一次扫描会产生新的按键数据,可能会覆盖前面的数据,所以需要一个按键存储电路。将整个键盘扫描完毕后的结果记录下来。按键位置与数码关系(表)图3扫描位置CSR【1…0】000000010101101010111111键盘输出信号SEL【3…0】001101010110001101010110001101010110001101010110按键号123456789*0#图4所示是密码锁输入模块的仿真波形13《2》密码锁控制模块密码锁的控制电路是整个电路的控制中心,主要完成对数字按键输入和功能按

6、键输入的响应控制。a.数字按键输入的响应控制如果按下数字键,第一个数字会从显示器的最右端开始显示,此后每新按下一个数字时,显示器上的数字必须左移一位,一边将新的数字显示出来。假如要更改输入的数字,可以按倒退按键来清除前一个输入的数字,或者按清除键清除所有输入的数字,再重新输入四位数。由于这里设计的是一个四位的电子密码锁,所以当输入的数字键超过四个时,电路不予理会,而且不再显示第四个以后的数字。b.功能按键输入响应控制清除键:清除所有的输入数字,即做归零动作。上锁键:按下此键时可将密码锁的门上锁(上锁前必须先设定一

7、个四位的电子密码)。解除键:按下此建辉检查输入的密码是否正确,若密码正确无误则解锁。图4所示是密码锁控制模块的仿真波形13《3》密码锁译码模块:本电子密码锁的显示模块比较简单,其作用是将控制模块的BCD码输出转换为7段显示编码,然后驱动数码管,其仿真波形如图6所示。电子密码锁的整合和验证要完成电子密码锁的设计,还必须将上述三个功能模块进行整合。其整合电路图如图1所示。电子密码锁整个系统的仿真如下图示:四、设计的心得体会此次的设计是参考了《EDA技术实验与课程设计》里的程序,不过由于程序里面出现了不少的语法错误,使

8、得在编译时出现了20多个错误,不过在看过书后,细心地检查过程序后方能纠正过来。在纠正的过程中获益良多。在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。感觉EDA还是很有研究价值的,能大大的减少设计者的工作量。从编写程序到完成此次课程设

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。