数字逻辑实验指导书.docx

数字逻辑实验指导书.docx

ID:50306903

大小:51.49 KB

页数:2页

时间:2020-03-07

数字逻辑实验指导书.docx_第1页
数字逻辑实验指导书.docx_第2页
资源描述:

《数字逻辑实验指导书.docx》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、《数字逻辑实验》指导书实验一  Maxplus 软件的基本操作 一、实验目的 1、熟悉Maxplus软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计) 2、熟悉VHDL语言,用VHDL语言写简单的程序 3、熟悉组合逻辑设计的一般方法 4、用VHDL语言设计一个异或门 二、实验原理 异或门是一种用途广泛的门电路。典型应用都是作为加法器的单元电路。 异或门是2输入门,如果恰好输入之一为1,则输出为1.换句话说,如果两个输入是不同的,则异或门产生1输出。即输入相同则输出为1,输入相异则

2、输出为1。 逻辑表达式: X ⊕Y = X’·Y  +  X · Y’ 三、实验内容 用VHDL语言设计一个异或门,当输入端同时输入0或1时,异或门产生1输出,否则,产生0输出。运用Maxplus软件,仿真异或门的波形图。 四、实验步骤 1、画出真值表 2、根据真值表编写程序; 3、 进行仿真(仿真波形); 五、实验解答1、真值表 ABOUT000011101110 2、异或门源代码 异或门: ***************************************************

3、***************** LIBRARY  IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY xor2   IS      PORT(           a,b: IN STD_LOGIC;           c  : OUT STD_LOGIC); END ENTITY xor2; ARCHITECTURE bhv OF  xor2 IS BEGIN c<=a XOR b; END ARCHITECTURE bhv; 3、波形仿真   六、实验总

4、结 1、保存时,文件名一定要与实体名相同。 2、在仿真波形图之前一定要保存,并重新进行编译。 3、在programmer之前要再一次编译,否则出现的是前一个的结果。 4、在options里取消snap to grid,可以随意画波形图。 实验二 基本门电路仿真实验 一、实验目的 用逻辑图和VHDL语言设计一个与非门 二、实验原理 1、与非门逻辑表达式:  Y=

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。