EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-07.3 二进制相位键控(PSK)调制器与解调器设计.ppt

EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-07.3 二进制相位键控(PSK)调制器与解调器设计.ppt

ID:50300087

大小:169.50 KB

页数:28页

时间:2020-03-07

EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-07.3 二进制相位键控(PSK)调制器与解调器设计.ppt_第1页
EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-07.3 二进制相位键控(PSK)调制器与解调器设计.ppt_第2页
EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-07.3 二进制相位键控(PSK)调制器与解调器设计.ppt_第3页
EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-07.3 二进制相位键控(PSK)调制器与解调器设计.ppt_第4页
EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-07.3 二进制相位键控(PSK)调制器与解调器设计.ppt_第5页
资源描述:

《EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-07.3 二进制相位键控(PSK)调制器与解调器设计.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、在二进制数字调制中,当正弦载波的相位随二进制数字基带信号离散变化时,则产生二进制移相键控(2PSK)信号。PSK信号码元的“0”和“1”分别用两个不同的初始相位0和来表示,而其振幅和频率则保持不变。7.3二进制相位键控(PSK)调制器与解调器设计PSK信号的产生1.相乘法是用二进制基带不归零矩形脉冲信号与载波相乘,得到相应的相位相反的两种码元。2.选择法是用此基带信号控制一个开关电路,以选择输入信号,开关电路的输入信号是相位相差180度的同频载波。相位键控的分类数字调相(相位键控)常分为:1.绝对调相,记为CPSK;2.相对调相,记为DPSK。1.绝对调相(CP

2、SK)所谓绝对调相即CPSK,是利用载波的不同相位去直接传送数字信息的一种方式。对二进制CPSK,若用相位π代表“0”码,相位0代表“1”码,即规定数字基带信号为“0”码时,已调信号相对于载波的相位为π;数字基带信号为“1”码时,已调信号相对于载波相位为同相。相对调相(相对移相),即DPSK,也称为差分调相,这种方式用载波相位的相对变化来传送数字信号,即利用前后码之间载波相位的变化表示数字基带信号的。所谓相位变化又有向量差和相位差两种定义方法。向量差是指前一码元的终相位与本码元初相位比较,是否发生相位变化。而相位差是指前后两码元的初相位是否发生了变化。对同一个基

3、带信号,按向量差和相位差画出的DPSK波形是不同的。2.相对调相(DPSK)接下页例如在相位差法中,在绝对码出现“1”码时,DPSK的载波初相位即前后两码元的初相位相对改变π。出现“0”码时,DPSK的载波相位即前后两码元的初相位相对不变。在向量差法中,在绝对码出现“1”码时,DPSK的载波初相位相对前一码元的终相位改变π。出现“0”码时,DPSK的载波初相位相对前一码元的终相位连续不变。在画DPSK波形时,第一个码元波形的相位可任意假设。接下页绝对移相波形规律比较简单,而相对移相波形规律比较复杂。绝对移相是用已调载波的不同相位来代表基带信号的,在解调时,必须先

4、恢复载波,然后把载波与CPSK信号进行比较,才能恢复基带信号。由于接收端恢复载波常常要采用二分频电路,它存在相位模糊,即用二分频电路恢复的载波有时与发送载波同相,有时反相,而且还会出现随机跳变,这样给绝对移相信号的解调带来困难。而相对移相,基带信号是由相邻两码元相位的变化来表示,它与载波相位无直接关系,即使采用同步解调,也不存在相位模糊问题,因此在实际设备中,相对移相得到了广泛运用。CPSK信号的产生1.CPSK调制的直接调相法2.CPSK调制方框图3.CPSK调制VHDL程序1.直接调相法直接调相法用一个受基带脉冲控制的开关电路来控制电路的输出,当基带脉冲为正

5、的时候,输出原来的波形,当基带脉冲为负的时候,将输入信号送入反相器,从而使输出信号与输入信号的相位相反2.CPSK调制方框图3.CPSK调制VHDL程序libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityCPSKisport(clk:instd_logic;--系统时钟start:instd_logic;--开始调制信号x:instd_logic;--基带信号y:outstd_logic);--已调制输出信号

6、endCPSK;architecturebehavofCPSKissignalq:std_logic_vector(1downto0);--2位计数器接下页signalf1,f2:std_logic;--载波信号beginprocess(clk)--此进程主要是产生两重载波信号f1,f2beginifclk'eventandclk='1'thenifstart='0'thenq<="00";elsifq<="01"thenf1<='1';f2<='0';q<=q+1;elsifq="11"thenf1<='0';f2<='1';q<="00";elsef1<='

7、0';f2<='1';q<=q+1;endif;endif;endprocess;接下页process(clk,x)--此进程完成对基带信号x的调制beginifclk'eventandclk='1'thenifq(0)='1'thenifx='1'theny<=f1;--基带信号x为‘1’时,输出信号y为f1elsey<=f2;--基带信号x为‘0’时,输出信号y为f2endif;endif;endif;endprocess;endbehav;接下页1.相对移相信号(DPSK)的产生2.绝对码一相对码变换关系DPSK信号调制1.相对移相信号(DPSK)的产生相

8、对移相信号(DPSK)是

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。