EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-0第6章EDA课件.ppt

EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-0第6章EDA课件.ppt

ID:50300069

大小:642.00 KB

页数:86页

时间:2020-03-07

EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-0第6章EDA课件.ppt_第1页
EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-0第6章EDA课件.ppt_第2页
EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-0第6章EDA课件.ppt_第3页
EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-0第6章EDA课件.ppt_第4页
EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-0第6章EDA课件.ppt_第5页
资源描述:

《EDA技术与CPLD FPGA开发应用简明教程 教学课件 作者 978--302-15639-0第6章EDA课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第6章CPLD/FPGA在数字系统中的应用6.1正负脉宽数控调制信号发生器的设计6.2智能函数发生器的设计6.3序列检测器的设计6.4数字频率计的设计6.5数字秒表的设计6.6交通信号控制器的设计6.7三层电梯控制器的设计10/3/2021教学目标教学重点教学过程第6章CPLD/FPGA在数字系统中的应用教学目标:掌握应用VHDL语言和原理图设计方法来设计复杂的逻辑电路内容有正负脉宽数控调制信号发生器的设计、智能函数发生器的设计、序列检测器的设计、数字频率计的设计、数字秒表的设计、交通信号控制器的设计。第6章CPLD/FPGA在数

2、字系统中的应用教学重点:掌握应用VHDL语言和原理图设计方法来设计复杂的逻辑电路掌握智能函数发生器的设计方法数字频率计的设计方法交通信号控制器的设计方法教学过程本章通过若干数字电子系统的设计实例,详细说明如何在实际设计中,应用VHDL语言和原理图设计方法来设计复杂的逻辑电路这些设计可以直接成为数字系统或电子产品电路中的实际模块6.1正负脉宽数控调制信号发生器的设计正负脉宽数控调制信号发生器在工业控制及数控系统中应用非常广泛,本节主要描述了一个可自加载的脉宽数控调制信号发生器。6.1.1设计思路图6-1是脉宽数控调制信号发生器逻辑图

3、,此信号发生器是由两个完全相同的可自加载加法计数LCNT8组成的,它的输出信号的高低电平脉宽可分别由两组8位预置数进行控制。图6-1脉宽数控调制信号发生器逻辑图6.1.2VHDL源程序1.8位可自加载加法计数器的源程序LCNT8.VHDLIBRARYIEEE;USEIEEE.STD_LOGIC_1164,.ALL;ENTITYLCNT8IS--8位可自加载加法计数器PORT(CLK,LD:INSTD_LOGIC;--工作时钟/预置值加载信号D:ININTEGERRANGE0TO255;--8位分频预置数CAO:OUTSTD_LOG

4、IC);--计数溢出输出ENDLCNT8;ARCHITECTUREARTOFLCNT8ISSIGNALCOUNT:INTEGERRANGE0TO255;--8位计数器设置BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENIFLD='1'THENCOUNT<=D;--LD为高电平时加载预置数ELSECOUNT<=COUNT+1;--否则继续计数ENDIF;ENDIF;ENDPROCESS;PROCESS(COUNT)BEGINIFCOUNT=255THENCAO<='1';--计数满后,置

5、于溢出位ELSECAO<='0';ENDIF;ENDPROCESS;ENDART;2.正负脉宽数控调制信号发生器的源程序PULSE.VHD(顶层文件)LIBRARYIEEE;--正负脉宽数控调制信号发生器顶层文件USEIEEE.STD_LOGIC_1164.ALL;ENTITYPULSEISPORT(CLK:INSTD_LOGIC;--计数时钟A,B:INSTD_LOGIC_VECTOR(7DOWNTO0);--8位计数预置数PSOUT:OUTSTD_LOGIC);--计数溢出并分频输出ENDPULSE;ARCHITECTUREA

6、RTOFPULSEISCOMPONENTLCNT8PORT(CLK,LD:INSTD_LOGIC;D:INSTD_LOGIC_VECTOR(7DOWNTO0);CAO:OUTSTD_LOGIC);ENDCOMPONENT;SIGNALCAO1,CAO2:STD_LOGIC;SIGNALLD1,LD2:STD_LOGIC;SIGNALPSINT:STD_LOGIC;BEGINU1:LCNT8PORTMAP(CLK=>CLK,LD=>LD1,D=>A,CAO=>CAO1);U2:LCNT8PORTMAP(CLK=>CLK,LD=>LD

7、2,D=>B,CAO=>CAO2);PROCESS(CAO1,CAO2)BEGINIFCAO1='1'THENPSINT<='0';--如CAO1='1'则把D触发器清零。ELSIFCAO2'EVENTANDCAO2='1'THENPSINT<='1';描述D触发器ENDIF;ENDPROCESS;LD1<=NOTPSINT;LD2<=PSINT;PSOUT<=PSINT;--描述输出信号和加载信号之间的关系。ENDART;6.1.3仿真结果6-2脉宽数控调制信号发生器函数发生器在测量中作为信号源的应用是非常广泛的,要得到一个频率

8、稳定的正弦波、矩形波、锯齿波的方法很多。这里介绍的智能函数发生器能够产生递增谐波、递减斜波、方波、三角波、正弦波及斜梯波,并可通过开关选择输出的波形。6.2.1智能函数发生器的设计思路智能函数发生器可由递增谐波产生模块(zeng)、递减斜波产生模块

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。