EDA技术与CPLD FPGA开发应用简明教程 2-4译码器.doc

EDA技术与CPLD FPGA开发应用简明教程 2-4译码器.doc

ID:28099412

大小:352.50 KB

页数:15页

时间:2018-12-08

EDA技术与CPLD FPGA开发应用简明教程 2-4译码器.doc_第1页
EDA技术与CPLD FPGA开发应用简明教程 2-4译码器.doc_第2页
EDA技术与CPLD FPGA开发应用简明教程 2-4译码器.doc_第3页
EDA技术与CPLD FPGA开发应用简明教程 2-4译码器.doc_第4页
EDA技术与CPLD FPGA开发应用简明教程 2-4译码器.doc_第5页
资源描述:

《EDA技术与CPLD FPGA开发应用简明教程 2-4译码器.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、内蒙古工业大学信息工程学院实验报告课程名称:EDA技术与CPLD/FPGA开发应用简明教程实验类型:验证性□综合性□设计性■实验室名称:信息学院机房班级:通信10-1班学号:姓名:成绩:实验日期:2013年05月17日实验一MAX+PLUSⅡ软件的使用一、实验目的:1、学习VHDL语言的基本指令及编程方法。2、熟悉在PC机上运用MAX+PLUSⅡ软件和EPLD进行电路设计的设计和仿真过程。二、实验设备:PC机三、实验原理1、软件操作方法见第五章。2、组合逻辑电路的设计。(1)原理图输入法。写出2-4译码器的真值表,根据真值表,从Prim库

2、中调出元件做出电路原理图。(2)VHDL输入法。程序应包括库说明语句、实体说明、构造体说明,可用IF语句(还可选用其它语句)语句实现2-4译码器。3、时序逻辑电路的设计。(1)原理图输入法。参照数字逻辑电路中学到的知识,时序逻辑电路的设计分为以下几步:画出状态转换图;状态分配和化简;列出状态方程和输出方程;选择触发器(例:选择D触发器,Prim库中的DFF),做电路原理图。之后按照第五章的步骤进行编译和仿真。(2)VHDL输入法。画出状态转换图,用VHDL语言描述该状态机,之后按照第五章的步骤进行编译和仿真。四、实验内容:设计一个2-4译

3、码器,并验证其功能。(1)用原理图输入法设计,并仿真设计结果。(2)用VHDL语言进行设计,并仿真设计结果。五、实验报告要求:1、写出VHDL语言源程序,并画出仿真得到的时序波形图。程序:libraryieee;useieee.std_logic_1164.all;entitydecoder2_4isport(a,b,g1,g2a,g2b:instd_logic;y:outstd_logic_vector(3downto0));enddecoder2_4;architecturertlOFdecoder2_4issignalindata:

4、std_logic_vector(1downto0);beginindata<=b&a;process(indata,g1,g2a,g2b)beginif(g1='1'andg2a='0'andg2b='0')thencaseindataiswhen"00"=>y<="1110";when"01"=>y<="1101";when"10"=>y<="1011";when"11"=>y<="0111";whenothers=>y<="XXXX";endcase;elsey<="1111";endif;endprocess;endrtl;1、写

5、出2-4译码器除采用IF语句外,还可采用什么语句实现。(1)使用条件选择IFELSEIF语句;(2)使用PROCESS语句;(3)使用SLL逻辑运算符。2、写出对设计输入方法的优略心得。利用EDA开发工具进行组合逻辑电路的设计,采用自顶向下的设计方法,需要注意很多细节性的问题。本实验就是典型的先总后分的的自顶向下结构设计,符合EDA设计的基本思想,采用了简单的when语句,将所有情况一一罗列即可,但是此设计方法适用于简单的程序,对于复杂程序则使用起来会很繁琐,不建议采用。实验二组合逻辑电路的设计一、实验目的:1、掌握用VHDL语言和EPL

6、D进行组合逻辑电路的设计方法。2、加深对EPLD设计全过程的理解。3、掌握组合逻辑电路的静态测试方法。二、实验设备:1、PC机2、EDA实验箱(主芯片是ALTERAEPM7128SLC84-15)。三、实验内容:用VHDL语言输入法设计一个四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5时,判别电路输出为1;反之为0。四、实验报告要求:程序清单:libraryieee;useieee.std_logic_1164.all;entityroundisport(x:instd_logic_vector(3downto0);y

7、:outbit);endround;architectureroundingofroundisBeginprocess(x)Begincasexiswhen"0000"=>y<='0';when"0001"=>y<='0';when"0010"=>y<='0';when"0011"=>y<='0';when"0100"=>y<='0';when"0101"=>y<='1';when"0110"=>y<='1';when"0111"=>y<='1';when"1000"=>y<='1';when"1001"=>y<='1';whenothe

8、rs=>null;endcase;endprocess;endrounding;仿真结果:  BCD码用二进制数表示十进制数,小于5输出低电平,大于5则输出高电平,产生进位信号,从而仿真结果得

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。