HDL(Verilog)课程设计报告报告(自动售货机)

HDL(Verilog)课程设计报告报告(自动售货机)

ID:47539282

大小:269.13 KB

页数:10页

时间:2020-01-14

HDL(Verilog)课程设计报告报告(自动售货机)_第1页
HDL(Verilog)课程设计报告报告(自动售货机)_第2页
HDL(Verilog)课程设计报告报告(自动售货机)_第3页
HDL(Verilog)课程设计报告报告(自动售货机)_第4页
HDL(Verilog)课程设计报告报告(自动售货机)_第5页
资源描述:

《HDL(Verilog)课程设计报告报告(自动售货机)》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、.VerilogHDL课程设计报告实验名称:基于VerilogHDL的自动售货机指导老师:王冠军班级:信科12-1姓名:吴涛学号:06122485word范文.第一章系统设计1.1系统设计(1)用四个发光二极管分别模拟售出价值为5角、1元、1.5元和2元的小商品,购买者可以通过开关选择任意一种标价中的小商品。(2)灯亮时表示该小商品售出。(3)用开关分别模拟5角、1元硬币和5元纸币投入,可以用几只发光二极管(或数码管)分别代表找回剩余的硬币。(4)每次只能售出一种小商品,当所投硬币达到或超过购买者所选面值时,售出货物并找回剩余的硬币,回到初始状态;(5)当所投

2、硬币值不足面值时,可通过一个复位键退回所投硬币,回到初始状态。第二章详细设计2.1自动售货机状态描述判断开关被按下的个数N。若N>=2表示所选本次选择无效,返回初始状态;若N=1则显示所选商品,并继续执行下面的流程。3个开关分别代表三种商品。4个开关分别代表投入0.5元,1元,2元,5元,统计投入总额。投入总额与商品价格做比较,如果总额<商品价格,退钱并返回初始状态如果总额>=商品价格,则继续执行下面的程序。找零=总额-商品价格,数码管显示找零金额。状态图如图2.1所示:2.2详细状态描述2.2.1初始状态各变量都设置为零,按下rst键后,一切恢复到初始状态。

3、2.2.2选商品状态分别有价格为0.5元、1元、1.5元和2元的商品,每次选择商品前,设置一个标志位btn_sell表示选择商品状态。此自动售货机每一次售货时只能一次选择一种商品,当同时选择两种以上时,选择商品无效,数码管显示清零,重新进行商品选择。选择商品后,数码管显示所选商品价格。2.2.3投币状态word范文.当选好商品后,开始投币。同样有一标志位btn_price表示投币金额。投币口只接受三种面值的钱币0.5元、1元和5元,可以同时投入多种面值钱币。投完币后,先有一个确认买商品的过程,若投了币但又不购买商品了,就将全部投币金额退回;若确认购买商品,则进

4、入下一状态——找零状态。2.2.4找零状态投完币,并确认购买商品后,进入找零状态。首先要将所投的金额与所选商品的价格做比较,若所投金额小于商品价格,则退回所投钱币;若大于等于商品价格,则两者做差,得到需要找零的钱。第三章软件设计3.1程序总流程图3.2程序word范文.moduleseller(clk,rst,dis_mony,btn_ok,btn_mon,btn_sell,led_warn,led,beep);inputclk,rst,btn_ok;input[2:0]btn_mon;//选择放入的钱input[3:0]btn_sell;//选择商品bbt_

5、5,gz_10,kqs_15,kl_20output[3:0]led;//led_5,led_10,led_15,led_20outputled_warn;//钱不足,指示灯output[10:0]dis_mony;//数码管显示投入面值,dia_mony[10:8]=com位选,dis_mony[7:0]=段码outputbeep;integerr;regclk_500Hz;reg[3:0]led;//led_5,led_10,led_15,led_20regled_warn;//警告放入钱不足reg[10:0]dis_mony;reg[31:0]count

6、er;reg[9:0]price,price_all;reg[1:0]flag=2'b00;//数码管显示标志位regbeep=0;parameterCOUNT1=25'd10000;/*分频使数码管显示稳定*/always@(posedgeclk)beginif(counter==0)begincounter<=COUNT1;clk_500Hz<=~clk_500Hz;endelsecounter<=counter-1;endword范文.always@(negedgerstorposedgeclk)beginif(rst==0)beginled=4'b00

7、00;//LED灭price_all=0;//价格清零led_warn=0;price=0;beep=0;endelsebegin/*三种面值共8种组合*/case(btn_mon)3'b001:beginprice_all=5;end3'b010:beginprice_all=10;end3'b100:beginprice_all=50;end3'b011:beginprice_all=15;end3'b101:beginprice_all=55;end3'b110:beginprice_all=60;end3'b111:beginprice_all=65;

8、enddefault:beginpri

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。