基于verilog hdl的自动售货机设计

基于verilog hdl的自动售货机设计

ID:3153542

大小:468.25 KB

页数:45页

时间:2017-11-20

基于verilog hdl的自动售货机设计_第1页
基于verilog hdl的自动售货机设计_第2页
基于verilog hdl的自动售货机设计_第3页
基于verilog hdl的自动售货机设计_第4页
基于verilog hdl的自动售货机设计_第5页
资源描述:

《基于verilog hdl的自动售货机设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、密级:NANCHANGUNIVERSITY学士学位论文THESISOFBACHELOR(2012—2016年)题目基于VerilogHDL的自动售货机设计学院:信息工程学院系电子信息工程系专业班级:学生姓名:学号:指导教师:职称:教授起讫日期:2016.3.14——2016.6.3摘要基于VerilogHDL的自动售货机设计专业:电子信息工程学号:6100212164学生姓名:田启泽指导教师:陶凌摘要自动售货机是商业自动化的常用设备,它不受地点和时间的限制,能够支持线上,线下多种支付方式,出货迅速,并且操作简单方便,

2、因此受到了社会各群体的欢迎。现目前自动售货机多为单片机设计,常出现因功能单一不能满足顾客需求,性能不稳定带来各种售货出错等现象。本文将论述采用EDA方法,以QuartusⅡ为软件开发平台,使用VerilogHDL语言设计,经过仿真后,在FPGA器件中实现自动售货机系统的详细流程。经过仿真验证设计可以实现投币计次,两种售价均为两元的商品选择,购物操作无条件取消,按键消抖,以及投币退币数量显示功能。硬件电路用一个按键电路实现投币功能,以led的点亮的数量指示投币的数量和商品出货情况,并以七段数码管显示退币的数量。关键词:

3、自动售货机;VerilogHDL;FPGA;EDA;QuartusⅡII摘要IIAbstractDesignofVendingMachineBasedonVHDLAbstractVendingmachinesarecommonlyusedascommercialautomatedequipment,itisnotrestrictedsometroubleaspects,suchasplace,timeandsoon.Itsupportonline,offlinepaymentmethods,fastshipping,

4、andeasyoperation,thereforehasbeenwelcomedbyallsocialgroups.Vendingmachinesarecurrentlyamostlysingle-chipdesign,oftenduetoasinglefunctioncannotmeetcustomerneeds,bringavarietyofsalesperformanceproblemsduetounstablecapability.Thisarticlewilldiscussesthesellingproc

5、essofthevendingmachinebyusingQuartusⅡassoftwaredevelopmentplatform,withtheEDAmethodandthroughVerilogHDLlanguagedesigning,aftersimulating,complyingvendingmachinesysteminFPGAdevices.Aftersimulationdesigneditcanbeachievedcoinmeteringandselectionofcommoditiesbothar

6、epricedattwoyuan,unconditionallycanceled,keydebounceandcoin-coinnumberdisplay.Hardwarecircuitusingafunctionkeycircuitsreplayscoin-operated,thenumberofledlightsindicatesthenumberofshipmentsofgoodsandcoin,andusingseven-segmentLEDtodisplaythenumberofcoinreturn.Key

7、words:vendingmachine;VerilogHDL;FPGA;EDA;QuartusⅡIVAbstractIV目录目录摘要ⅠAbstractⅡ第一章绪论11.1自动售货机设计的研究背景11.2自动售货机设计的研究意义21.3国内外研究现状21.4自动售货机设计的主要内容3第二章相关技术简介52.1EDA技术简介52.2VerilogHDL语言介绍62.3QuartusⅡ软件开发平台7第三章总体设计方案83.1设计要求83.2总体设计8第四章模块设计及仿真测试104.1投币计次功能的实现104.2led与数

8、码管显示功能的实现114.3无条件取消功能的实现144.4商品选择出货功能的实现164.5抖动消除电路的实现18第五章总体功能的仿真测试20VI目录结论23参考文献(References)24致谢25附录26VI第一章绪论第一章绪论1.1自动售货机研究背景中国产业调研网发布的2016年版中国自动售货机市场现状调研与发展趋势趋势分析报告认为,居民

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。