自动售货机 verilog.docx

自动售货机 verilog.docx

ID:49674968

大小:96.31 KB

页数:8页

时间:2020-03-02

自动售货机 verilog.docx_第1页
自动售货机 verilog.docx_第2页
自动售货机 verilog.docx_第3页
自动售货机 verilog.docx_第4页
自动售货机 verilog.docx_第5页
资源描述:

《自动售货机 verilog.docx》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、哈尔滨工业大学SOPC及EDA技术课程SOPC及EDA技术课程结业报告题目:基于状态机的自动售货机设计院(系)电气工程及其自动化姓名学号班号8哈尔滨工业大学SOPC及EDA技术课程基于状态机的自动售货机设计一.实验目的1.学习FPGA入门知识,了解FPGA的编程形式;2.掌握QuartusII的使用步骤;3.掌握基于状态机原理的自动售货机设计过程。二.实验原理自动售货机是可完成无人自动售货,集光、机、电一体化的商业自动化设备。自动售货机不受任何场地限制,方便快捷,可以每天24小时售货,因此深受上班族的欢迎,很多城市的公共场所里面都放置有自动售货机,出售的商品五花八门,从饮料

2、、零食、香烟、糖果,到牙刷、方便面、自动照相机。近年来,我国的自动售货机行业突飞猛进:在汽车总站、商厦、医院、小区、学校等地区纷纷涌现出自动售货机的踪迹。这种方便快捷的购物方式越来越受到人们的青睐,同时也是现代化城市配套设施的需求。因为自动售货机作为社会上完成商品零售和综合服务的独立设施,所以必须要求它的结构可靠、稳定而且执行效率高。传统的自动售货机控制系统采用单片机作为控制核心,这样不仅会在输入输出接口上做大量的工作,而且系统的抗干扰性也比较差。可编程控制器(ProgrammableLogicalController,简称PLC)是综合了计算机技术、自动控制技术和通讯技术

3、而发展起来的一种新型的工业控制装置,它具有可靠性高、编程简单、维护方便等优点,已在工业控制领域得到广泛地应用。PLC是以CPU为核心,综合了计算机技术和通信技术发展起来的一种通用的工业自动控制装置,是现代工业控制的三大支柱(PLC、机器人和CAD/CAM)之一。PLC的工作原理与计算机的工作原理基本是一致的,可以简单地表述为在系统程序的管理下,通过运行应用程序完成用户任务。但是PLC也有它自己的特点,PLC在确定了工作任务,装入了专用程序后成为一种专用机,它采用循环扫描工作方式,系统工作任务管理及应用程序执行都是用循环扫描方式完成的。目前,可编程控制器在国内外已广泛应用于钢

4、铁、石油、化工、电力、建材、机械制造、汽车、轻纺、交通运输、环保等各行各业。自动售货机以其新奇、文明、高档、灵活方便等优点深受广大市民青睐。自动售货机最基本的功能是对投入的货币进行运算,并根据所投入的货币数值判断是否能够购买某种商品,并做出相应的反映。因此,售货机应能够辨识机内包含的商品,能够对所投入的币值进行累计,并提供所要购买的商品。当按下选择商8哈尔滨工业大学SOPC及EDA技术课程品的按钮时,自动售货机根据投入的币值,起动电机,提取商品到出货口,顾客取出商品,完成此次交易。在实际生活中,我们见到的售货机可以销售一些简单的日用品,如饮料、常用药品和小的生活保健用品等。

5、售货机的基本功能就是对投入的货币进行运算,并根据货币数值判断是否能够购买某种商品,并做出相应的反应。举一个简单的例子来说明。例如:售货机中有8种商品,其中01号商品(代表第一种商品)价格为1.50元,02商品为2.50元,其余类推。现投入1个1元硬币,当投入的货币超过01商品的价格时,01商品的选择按钮处应有变化,提示可以购买,其他商品同比。当按下选择01商品的价格时,售货机进行减法运算,从投入的货币总值中减去01商品的价格同时启动相应的电机,提取01号商品到出货口。此时售货机继续进行等待外部命令,如继续交易,则同上,如果此时不再购买而按下退币按钮,售货机则要进行退币操作,

6、退回相应的货币,并在程序中清零,完成此次交易。由此看来,售货机一次交易要涉及加法运算、减法运算以及在退币时的除法运算,这是它的内部功能。还要有货币识别系统和货币的传动来实现完整的售货、退币功能。为简单计,本次实验只要求设计一个简单的自动售货机逻辑电路。它的投币口每次只能投入一枚五角或一元的硬币。投入一元五角钱硬币后机器自动给出一杯饮料;投入两元(两枚一元)硬币后,在给出饮料的同时找回一枚五角的硬币,投币时只能一个一个地投。三.实验步骤及实验结果1.电路变量分析根据设计要求,共有七个变量。clk:时钟输入;reset:系统复位信号;half_dollar:代表投入五角硬币;o

7、ne_dollar:代表投入一元硬币;half_out:售货机找回一枚五角硬币信号;dispense:机器售出一瓶饮料;collect:提示投币者取走饮料。2.状态转换图的确定该电路一共用3个状态就可以完成设计要求。状态idle,表示为投入任何硬币状态;状态half,表示投入五角钱的状态;状态one,表示投入一元钱的状态。当投入一元五角钱时,给出一杯饮料并转到状态idle;投入两元(两枚一8哈尔滨工业大学SOPC及EDA技术课程元)硬币后,在给出饮料的同时找回一枚五角的硬币并转到状态idle,状态装换图如下图1所示

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。