CORDIC算法在数字频率合成中的应用研究与实现

CORDIC算法在数字频率合成中的应用研究与实现

ID:46613647

大小:1.12 MB

页数:4页

时间:2019-11-26

CORDIC算法在数字频率合成中的应用研究与实现_第1页
CORDIC算法在数字频率合成中的应用研究与实现_第2页
CORDIC算法在数字频率合成中的应用研究与实现_第3页
CORDIC算法在数字频率合成中的应用研究与实现_第4页
资源描述:

《CORDIC算法在数字频率合成中的应用研究与实现》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、36航天电子对抗第31卷第4期CORDIC算法在数字频率合成中的应用研究与实现马思强,王首斌,邵国峰(中国电子科技集团公司第三十六研究所,浙江嘉兴314033)摘要:相较于传统的基于ROM查找表的数字频率合成方案,采用CORDIC算法来计算正余弦函数时,无需使用乘法器,只需要一个最小的查找表(LUT),利用简单的移位和相加运算,即可产生高精度的正余弦波形,可以有效节省存储资源,非常适合于在FPGA上实现。在CORDIC算法研究的基础上,合理设计了CORDIC电路的实现结构,并通过VerilogHDL

2、语言进行了硬件描述设计,整个设计方案通过算法验证并最终在AlteraStratixII系列EP2S130F1020C5型FPGA上完成了布局布线,最高工作频率为145.2MHz。关键词:CORDIC;FPGA;三角函数中图分类号:TN97;TN79文献标识码:AResearchandimplementationofCORDICalgorithmonDDFSMaSiqiang,WangShoubin,ShaoGuofeng(No.36ResearchInstituteofCETC,Jiaxing314

3、033,Zhejiang,China)Abstract:CORDICalgorithmismoreaccurateandmorefeasibleonFPGAimplementationthanconventionallook—uptables,whichonlyneedsasmallestLUT.BasedonthestudyofCORDICalgorithm,theCORDICcircuitisdesigned.ThewholedesignissynthesizedunderAlteraStrat

4、ixIIEP2S130F1020C5FPGA.Highestclockfrequencyafterroutedcanreach145.2MHz.Keywords:CORDIC;FPGA;trigonometric0引言1CORDIC算法原理近些年来,随着集成电路技术的发展,坐标旋转数字算法(CORDIC)的优势越来越明显,因此它被广泛应用于数字信号处理领域。CORDIC算法是用于计算广义矢量旋转的一种迭代方法,最早由Voider于1959年提出[1j,在此基础上Walther提出了统一的CORDIC

5、算法口],并将CORDIC实现的三种功能:三角函数运算、双曲运算和线性运算统一于一个表达式中,形成了CORDIC算法的基本数学基础。CORDIC算法的基本思想是通过一系列角度的旋转来逼近目标角度,并且这一系列的角度是预先经过挑选设定的,这一旋转过程可以通过移位和加减法运算的方法实现。所以针对实现复杂功能运算,利用CORDIC算法能够取得较好的硬件资源节约效果。收稿日期:2015—05—26;2015—07—16修回。作者简介:马思强(1987一),男,工程师,博士,主要从事电子系统总体设计、信号处理

6、技术等方面的研究工作。CORDIC算法的基本原理可以通过极坐标的形式进行说明,已知一个目标点(X。,Y。)通过旋转角度0。后可以达到另一个点,记作(X。+,,Y。+,),这一旋转过程可以通过矩阵的形式表示,具体为:刚一pLsin0.一cosm01慝]㈩ly科,J。jp。.J将cos0。提出,从而可以得到:刚:COS0n甚1叫一慝]㈤如果取0。一arctan(2~),并将0。定义为每一次旋转的角度,则所有迭代旋转角度的总和0可以表示为:0一芝:S。0。S。一{一1;+1)(3)此时式(2)中的tan0

7、。一S。2~,则式(2)可以转换为:刚=cos0.置一H㈥Y㈤y计,Is芦。1I。J@’式中,cos0。一COS(arctan(2~)),随着迭代次数的增2015(4)马思强,等:CORDIC算法在数字频率合成中的应用研究与实现37加,该式可以收敛为一个常数:K=面1=1-[cos(arctan(2一”))≈o.607253(5)可以暂时不考虑这个增益常数K,这时式(5)可以改写为:脚=品一W爱]㈤这里用Z来表示相位累加的部分和,则可以得到:Z科,=口一∑臼。(7)因此可以给出CORDIC算法最核心

8、的三个迭代公式为:fX科1一X。一Y。S。21:arctan(21)≤0≤芝:arctan(21)(16)n=0”一0通过进一步的取极限运算,这个角度范围将收敛至[一99.88。,99.88。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。