【精品】EDA实验指导书AAA

【精品】EDA实验指导书AAA

ID:45555484

大小:430.48 KB

页数:185页

时间:2019-11-14

【精品】EDA实验指导书AAA_第1页
【精品】EDA实验指导书AAA_第2页
【精品】EDA实验指导书AAA_第3页
【精品】EDA实验指导书AAA_第4页
【精品】EDA实验指导书AAA_第5页
资源描述:

《【精品】EDA实验指导书AAA》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验一QuartusII的HDL输入设计1.应用QuartusII完成基本时序电路的设计2•应用QuartusII完成基木组合电路设计实验二原理图输入设计1.用原理图输入法设计8位全加器2.用原理图输入法设计较复杂数字系统实验三数字钟的设计实验四乐曲硬件演奏电路设计实验五DDS信号发生器设计实验六VGA显示控制器设计实验一QuartusII的VHDL输入设计(选做其一)1.应川QuartusII完成基本时序电路的设计【实验目的】熟悉QuartusII的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。【实验内容1】根据实验4-1的步骤和要求,设计含异步清零和

2、同步加载与时钟使能的计数器(使川例3-20),给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。【例3-20】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10ISPORT(CLK,RST,EN,LOAD:INSTD_LOGIC;DATA:INSTD_LOGIC_VECTOR(3DOWNTO0);DOUT:OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:OUTSTD_LOGIC);ENDCNT10;ARCHITECTUREbe

3、havOFCNT10ISBEGINPROCESS(CLK,RST,EN,LOAD)VARIABLEQ:STD_LOGIC_VECTOR(3DOWNTO0);BEGINIFRST二TTHENQ:=(OTHERS二>,(),);■■计数器异步复位ELSIFCLKTVENTANDCLK=T,THEN一检测时钟上升沿IFEN二TTHEN-检测是否允许计数(同步使能)IFLOAD=OTHENQ:=DATA;ELSE-由LOAD=t0,装载新数据IFCQ1<9THENQ:=Q+1;-允许计数,检测是否小于9ELSEQ:=(OTHERS=>O);―大于9,计数值清零ENDIF;E

4、NDIF;ENDIF;ENDIF;IFQ=“1001”THENCOUT<=T;■■计数大于9,输出进位信号ELSECOUTv=O;ENDIFDOUT<=Q;一将计数值向端口输出ENDPROCESS;ENDbehav;【实验内容2】引脚锁定以及硬件下载测试。若选择冃标器件是EP1C3,建议选实验电路模式5(具体电路图见说明书),用键1(PIO0,引脚号为1)控制CLK;用键2(PIO1,引脚号为2)控制RST;用键2(PI01,引脚号为2)控制en;输出数据DOUT接到PI016〜PI019(引脚号为);COUT接到PI08(引脚号为);最后进行编译、下载和硬件测试实

5、验。【实验内容3】参看视频,使用SignalTap对此计数器进行实时测试。给出报告。2.应用QuartusIT完成基本组合电路设计【实验目的】熟悉QuartusII的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。【实验内容1】首先利用QuartusII完成2选1多路选择器(例3-1)的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出图3-3所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。【实验内容2】将此多路选择器看成是一个元件nmx21a,利用元件例化语句描述下图,并将此文件放在同一目录中。以下是部

6、分参考程序:[aT>a2a3COMPONENTMUX21APORT(a,b,s:INSTD_LOGIC;y:OUTSTD_LOGIC);ENDCOMPONENT;•••ul:MUX21APORTMAP(a=>a2,b=>a3,s=>sO,y=>tmp);u2:MUX21APORTMAP(a=>al,b=>tmp,s=>s1,y=>outy);ENDARCHITECTUREBHV;【例4-3】ENTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISBEGINPR

7、OCESS(a,b,s)BEGINIFs=•O'THENy<=a;ELSEy<=b;ENDIF;ENDPROCESS;ENDARCHITECTUREone;按照本章给出的步骤对上例分别进行编译、综合、仿真。并对其仿真波形作出分析说明。【实验内容3】弓I脚锁定以及硬件下载测试。若选择目标器件是EP1C3,建议选实验电路模式5(附录图7),用键1(PIOO,引脚号为1)控制sO;用键2(PIO1,引脚号为2)控制si;a3、a2和al分别接clock5(弓脚号为16)、clock。(弓I脚号为93)和clock2(引脚号为17);输出信号outy仍接扬声器spker

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。