《eda》实验指导书--

《eda》实验指导书--

ID:35537109

大小:261.09 KB

页数:22页

时间:2019-03-25

《eda》实验指导书--_第1页
《eda》实验指导书--_第2页
《eda》实验指导书--_第3页
《eda》实验指导书--_第4页
《eda》实验指导书--_第5页
资源描述:

《《eda》实验指导书--》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、辽东学院自编教材《可编程逻辑器件原理及应用实验》指导书李海成编(计算机科学与技术、电子信息工程专业用)姓名:学号:班级:信息技术学院2013年6月目录1实验一MAX+PLUS-II设计三八译码器错误!未定义书签。实验二半加器2实验三带进位输入的8位加法器4实验四数据比较器6实验五编码器9实验六组合逻辑电路的设计12实验七计数器14实验八触发器功能的模拟实现17实验二半加器实验类型:验证性吋间:201年月实验课时:2日课次:第节指导教师:李海成教学周次:第周实验分室:实验台号:实验员:一、实验目的1•设计并实验一个一位半加器2.掌握CPLD/FPGA组合逻辑设计基本方法。二、实验原理计算机中

2、数的操作都是以二进制进位的,最基木的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机屮的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2・1。(被加数)Ai(被加数)Bi_J$(半加和)Hi半加器(本位进位)Ci图2-1—位半加器示意图表2・1半加器真值表输入输出BiAiHiCi0000011010101101一个半加人路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式:Hi=^・Bi+Ai・ECi=Ai・Bi三、实验连线半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a、b;两个输出所对应的管脚同两位发光

3、二极管相连,两个输出管脚名为c0和s,其中c0表示进位,s表示相加结果。四、实验记录状态输入正确输出实验结果BiAiHiCiHiCi10000201103101041101五、实验注意事项1.提前编辑实验程序。1.根据教师要求正确操作,并检验逻辑的正确性六、思考题1.EDA半加器实现少数字电路设计方法的根本区别。2.简述EDA设计半加器的不同方法,并比较其优缺点。3.心得体会及其他。实验成绩项目及比例实验操作(30%)报告书写(70%)得分成绩合计教师签字:批改日期:实验三带进位输入的8位加法器实验类型:验证性实验课时:2指导教师:时间:200—年—月—日课次:第_节教学周次:第—周实验分

4、室:实验台号:实验员:一、实验目的1.设计并实现一个8位全加器2.掌握EDA屮模块调用方法二、实验原理利川实验二构建的半加器构建一位的全加器,然后设计一个8位的全加器,其框图如图4-1所示。图中的“进位入”Cm指的是低位的进位输岀,“进位出”Ci即是木位的进位输出。(被加数)Ai(7..O)(被加数)Bi(7..O)(进位入)CM全加器>(全加和)Si(7..0)(进位岀)Ci图4-18位全加器原理图0三、实验连线全加器的17个输入所对应的管脚同17位拨码开关相连,17个输入管脚是aO〜a7、bO〜b7和cinaO〜a7、b0~b7代表两个8位二进制数,cin代表进位位;9个输出所对应的管

5、脚同9位发光二极管相连,9个输出管脚是sumO〜sum7和cout,sumO〜sum7代表相加结果,cout代表进位位。四、实验记录输入实验结果CmBi(7..O)Ai(7..O)Si(7..O)Ci0000000000000000000000000100000000011111110111111100111111111111111110000000000000000100000001000000001111111100000000011111111100000000五、实验结果分析与思考1.半加器与全加器的区别。2.实验设计程序3实验结果总结实验成绩项目及比例实验操作(30%)报告书写(

6、70%)得分成绩合计教师签字:批改日期:实验四数据比较器实验类型:设计性吋间:201年月实验课时:2日课次:第节指导教师:李海成教学周次:第周实验分室:实验台号:实验员:一、实验目的1.设计并实现一个4位二进制数据比较器2.掌握数据比较器的构建及其方法二、实验原理二进制比较器是提供关于两个二进制操作数间关系信息的逻辑电路。两个操作数的比较结果有三种情况:A等于B、A大于B和A小于B。考虑当操作数A和B都是一位二进制数时,构造比较器的真值表见表9-1o输出表达式如下:AEQB二AB+AB=(AB)'A>B二AB'ABA

7、010011001011100在一位比较器的基础上,我们町以继续得到两位比较器,然后通过“迭代设计”得到4位的数据比较器。对于4位比较器的设计,我们可以通过原理图输入法或VHDL描述來完成,其中川VHDL语言描述是-•种最为简单的方法。下面是一个3位比较器的VHDL描述:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entity

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。