EDA实验箱实验指导书

EDA实验箱实验指导书

ID:45755529

大小:985.40 KB

页数:41页

时间:2019-11-17

EDA实验箱实验指导书_第1页
EDA实验箱实验指导书_第2页
EDA实验箱实验指导书_第3页
EDA实验箱实验指导书_第4页
EDA实验箱实验指导书_第5页
资源描述:

《EDA实验箱实验指导书》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验二流水灯1.实验目的通过木实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使川方法及VHDL语言的编程方法;学习简单的时序电路的设计和驶件测试。2.实验内容木实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。3・实验原理在LED1-LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110>11111100、11111000、11110000、11100000、11000000>10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。为了方便观察,流水的

2、速率控制在2Hz左右。在核心板上有一个48MHz的标准吋钟源,该吋钟源与芯片EP2C5的23脚相连。为了产生2Hz的吋钟源,在此调用了分频模块int_divo4.实验步骤(1)启动QuartusII,建立一个空白工程,然后命名为led_waterflow.qpfo(2)新建ledwater.vhd源程序文件,源代码如下。然后进行综合编译。若在编译过程屮发现错误,则找出并更止错误,直到编译成功为止。生产符号文件ledwater.bsf(File->Create/_Update—>CreateSymbolFilesforCurrentFile)。流水灯程序参考LI

3、BRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_Arith.ALL;USEIEEE.STD_LOGIC_Unsigned.ALL;ENTITYledwaterISPORT(elk:INSTD_LOGIC;led:OUTSTD_LOGIC_VECTOR(7DOWNTO0));END;ARCHITECTUREoneOFledwaterISSIGNALled_r:STD_LOGIC_VECTOR(8DOWNTO0);BEGINled<=led_r(7DOWNTO0);PROCESS(clk)BEGINIF

4、clk'eventandclk=TTHENlcd_r<=lcd_r(7DOWNTO0)&'O';IFled_r=,,000000000HTHEN一循环完毕吗?lcd_rv=”lllllllll";一是,则重新赋初值ENDIF;ENDIF:ENDPROCESS;END;⑶将实验模块库里的int_div.vhd和int_div.bsf拷贝到丁.程目录下。(4)新建图形文件,命名为led_waterflow.bdf并保存。在空白处双击鼠标,打开symbol对话框,在symbol对话框的左上和的libraies屮,分别将project卜•的ledwater和int_d

5、iv模块放在图形文件led_waterflow.bdf中,加入输入input、输111output引脚,双比•各引脚符号,进行引脚命名。完整的顶层模块原理图如下图1所示。双击int.div中的参数框,并修改参数,如下图2所示。将F_D1V的值改为24000000,F_D1V_WIDTH的值改为25,单击“确定”按钮保存修改的参数。>led[7..O]图流水灯顶层模块图参数修改对话框PaianieterValueF-DIV24000000FDIVJ^DTH25如果在led_waterflow.bdf上不能看到参数设置框,在空白出右击鼠标,选择ShowParame

6、terAssignments命令来显示参数设置框。(5)将实验模块选择目标器件并对相应的引脚进行锁定,这电选择的器件为Altera公司的CycloneII系列的EP2C5Q208C8,或者CycloneI系列的EP1C12Q240C8。引脚锁定方法如下表所列。(6)将led_wateiflow.bdf设置成顶层实体Project—*SetasTop-levelEntityc对该工程文件进行全程编译处理,若在编译过程屮发现错误,则找出并更正错谋,直至编译成功为止。(7)把JP20的led0~led7的跳帽插上,使发光二极管有效。下载程序到芯片上,观察流水灯的变化

7、。更改分频模块的分频系数,并重新编译下载,观察流水灯的变化。表引脚锁定方法信号引脚信号引脚EP2C5EP1C12EP2C5EP1C12LedfO]116128Led[5]105123Led[l]110127Led[6]63122Led⑵114126Led[7]106121Led[3]107125clock23153Lcd

8、4

9、112124实验三读取按键信号1・实验目的通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL语言的编程方法;并熟悉VHDL文件为顶层模块的设计;学习和体会分支条件语句case的使用方法及FPGAI/O口的

10、输出控制。2.实验内容实验箱上有8个发

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。