集成触发器应用

集成触发器应用

ID:40906575

大小:1.73 MB

页数:8页

时间:2019-08-10

集成触发器应用_第1页
集成触发器应用_第2页
集成触发器应用_第3页
集成触发器应用_第4页
集成触发器应用_第5页
资源描述:

《集成触发器应用》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、装订线专业:电子信息工程姓名:彭嘉乔学号:3130104084__日期:_________________桌号:F4实验报告课程名称:电路与电子实验指导老师:___王旃____成绩:__________________实验名称:时序逻辑电路设计实验类型:________________同组学生姓名:__________一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)四、操作方法和实验步骤五、实验数据记录和处理六、实验结果与分析(必填)七、讨论、心得时序逻辑电路设计一、实验目的1、掌握集成触发器的功能测试方法。2、理解触发器的两种触发方式(电平触

2、发和边沿触发)3.掌握触发器的功能转换。4、学习集成触发器的应用。5、进一步掌握用双踪示波器测量多个波形的方法。二、实验内容1、测试74LS74和74LS107的逻辑功能。2、进行D→T'、JK→T'、D→JK、**JK→D的逻辑功能转换。3、用双D触发器设计一个单发脉冲发生器。三、主要仪器设备1、与非门74LS00,双D触发器74LS74,JK触发器74LS107。2、数字电子实验箱。3、示波器。四、实验操作与结果1、芯片逻辑功能测试双D触发器74LS74手动测试:将置0,则Q置0;将置0,则Q置1;将与同时置1。D=0时Q=0;D=1时Q=1,且仅在CP上升沿时输出Q

3、改变状态,下降沿无变化。数据如下图所示。实验序号CP时钟沿QnDQn+1实验序号CP时钟沿QnDQn+11↑0002↓0003↑1004↓1015↑0116↓0107↑1118↓111示波器测试:CP接入1024Hz脉冲信号从上至下分别为CP端输入信号、Q输出高电平时、Q输出低电平时的波形。JK触发器74LS107手动测试:将置0,则Q置0;将置1。Qn+1仅在CP下降沿改变,有Qn+1=J+Qn。Qn=0,Qn+1=J;Qn=1,Qn+1=。数据如下图所示。实验序号CP时钟沿QnJKQn+1实验序号CP时钟沿QnJKQn+11↑00002↓00003↑00104↓001

4、05↑01006↓01017↑01108↓01119↑100110↓100111↑101112↓101013↑110114↓110115↑111116↓1110示波器测试:CP接入1024Hz脉冲信号从上至下分别为CP端输入信号、Q输出高电平时、Q输出低电平时的波形。2、进行D→T'、JK→T'、D→JK、**JK→D的逻辑功能转换。D→T'转换如图所示连接电路。CP端接入1024Hz脉冲信号,示波器显示如下图所示。其中从上至下依次为CP端输入和Q输出信号波形。JK→T'转换如图所示连接电路。CP端接入1024Hz脉冲信号,示波器显示如下图所示。其中从上至下依次为CP端输

5、入和Q输出信号波形。D→JK转换手动测试其满足JK触发器功能。示波器测试波形如下,其中从上至下为CP端接入1024Hz脉冲信号波形、Q输出高电平、Q输出低电平波形。JK→D转换如图所示连接电路。CP端接入1024Hz脉冲信号,示波器显示如下图所示。其中从上至下依次为CP端输入和Q输出高电平、Q输出低电平信号波形。3、用双D触发器设计一个单发脉冲发生器。原理如图所示理论波形如下:示波器测试:从上至下依次为CP1、Q1、CP2、Q2的波形。基本符合要求。五、实验心得本次实验较为简单,线路并不复杂,逻辑门较少,因此实验相对来说顺利完成。我通过本次实验加深了对于触发器的理解,强化

6、了理论课所学知识,享受到了自主设计的快感,频繁使用示波器也强化了相关的技能。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。