四位二进制全加全减器

四位二进制全加全减器

ID:39211344

大小:250.91 KB

页数:4页

时间:2019-06-27

四位二进制全加全减器_第1页
四位二进制全加全减器_第2页
四位二进制全加全减器_第3页
四位二进制全加全减器_第4页
资源描述:

《四位二进制全加全减器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、数字逻辑设计及应用课程设计报告组合逻辑设计题目:使用74LS83构成4位二进制全加全减器。具体要求:1)列出真值表;2)画出逻辑图;3)用VerilogHDL进行仿真;1.设计思路及原理分析全加器是除本位数字相加外,还考虑进位输入和进位输出的加法器,全减器同理,考虑借位输入和借位输出。本次主要应用74LS83来实现设计要求,74LS83是四位二进制先行进位加法器,可以直接接入输入获得全加器,所以设计重点在于四位全减器的设计。对于串行进位加法器,可略加改进获得相应的减法器,基本原理如下式:这里利用了补码的基本性质,具体实现时可以将

2、减数逐位取反,然后最低位加1。又因为全加器时为为进位输入,全减器时应变为借位输入,所以要减去,且全加器的输出端为进位输出,而全减法器应该输出借位输出,而进位输出与借位输出恰好是反向的关系,所以将取反后即得到全减器的借位输出,据此,可以在全加器的基础上设计全减器。由于其真值表过于庞大,所以只列出了其的一部分。000100101010111101101011111011110100110011000110010000111011110011100101100001101100010001100111001001010111010000

3、110111110111101100010110001100100011001111100111001001111其中表中输出部分上行为全加输出,下行为全减输出。2逻辑电路图3电路实现和仿真3.1verilogHDL设计代码如下:moduleadd(s,out,a,b,in,EN);output[0:3]s;outputout;input[0:3]a,b;inputin;inputEN;regout;reg[0:3]s,c;always@(*)if(EN==0)begin{out,s}=a+b+in;endelsebeginc=1

4、0000-b;{out,s}=a+c-in;out=~out;endendmodule3.2仿真波形图4结果分析由波形图可知,仿真结果与真值表完全吻合,说明本次设计的可行性和正确性,至此,我们完成了基于74LS83构成4位二进制全加全减器的分析、设计、仿真,而且达到了预期的设计要求。5总结此次课程选取的题目较为简单,容易操作,但与平时课上所学习的基础知识联系紧密,是对课上所学理论知识很好的应用和检验。过程中最大的感受是体会到了如何用课本所学的理论设计所需的电路,而理论和实践起来是不同的,即使理论很扎实,而实际用verilogHD

5、L语言来写时也会遇到很多困难。但是在设计时能对所学的东西有更好的理解,这也更激起了我对数字设计这门课程的兴趣,以后一定会继续好好学习这门课程,课下将书本上的verilogHDL程序用Quartus仿真出来,以加深对知识的理解。6参考文献《数字设计—原理与实践》JohnF.Wakerly《基于QuartusII的数字系统VerilogHDL设计实例详解》周景润

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。