组合逻辑电路的VHDL描述、竞争与冒险

组合逻辑电路的VHDL描述、竞争与冒险

ID:37906500

大小:786.60 KB

页数:38页

时间:2019-06-02

组合逻辑电路的VHDL描述、竞争与冒险_第1页
组合逻辑电路的VHDL描述、竞争与冒险_第2页
组合逻辑电路的VHDL描述、竞争与冒险_第3页
组合逻辑电路的VHDL描述、竞争与冒险_第4页
组合逻辑电路的VHDL描述、竞争与冒险_第5页
资源描述:

《组合逻辑电路的VHDL描述、竞争与冒险》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、1第九讲组合逻辑电路分析与设计1、VHDL语言介绍2、组合逻辑电路VHDL描述3、组合逻辑电路中的竞争与冒险2第十讲组合逻辑电路的VHDL描述、竞争与冒险VHDL介绍VHDL:VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage,即超高速集成电路硬件描述语言。VHDL作为IEEE标准的硬件描述语言和EDA的重要组成部分,经过几十年的发展、应用和完善,以其强大的系统描述能力、规范的程序设计结构、灵活的语言表达风格和多层次的仿真测试手段,在电子设计领域受到了普遍的认同和

2、广泛的接受,成为现代EDA领域的首选硬件设计语言。专家认为,在新世纪中,VHDL与Verilog语言将承担起几乎全部的数字系统设计任务。3第十讲组合逻辑电路的VHDL描述、竞争与冒险VHDL介绍结构体(ARCHITECTURE)进程或其它并行结构实体(ENTITY)配置(CONFIGURATION)库、程序包设计实体库(LIBRARY)——存放预先设计好的程序包和数据的集合体。程序包(PACKAGE)——将已定义的数据类型、元件调用说明及子程序收集在一起,供VHDL设计实体共享和调用,若干个包则形成库。IEEE库包括:STD_

3、LOGIC_1164STD_LOGIC_ARITH——是SYNOPSYS公司加入IEEE库程序包,包括:STD_LOGIC_SIGNED(有符号数)STD_LOGIC_UNSIGNED(无符号数)1、库、程序包4第十讲组合逻辑电路的VHDL描述、竞争与冒险VHDL介绍STD_LOGIC_SMALL_INT(小整型数)VHDL’87版本使用IEEESTD1076-1987语法标准VHDL’93版本使用IEEESTD1076-1993语法标准例:LIBRARYIEEEUSEIEEE.STD_LOGIC_1164.ALL描述器件的输

4、入、输出端口数据类型中将要用到的IEEE的标准库中的STD_LOGIC_1164程序包。5第十讲组合逻辑电路的VHDL描述、竞争与冒险VHDL介绍格式:ENTITY实体名IS[类属参数说明][端口说明]END实体名;规则:(1)类属参数说明必须放在端口说明之前,用于指定如矢量位数、延迟时间等参数。例如GENERIC(m:TIME:=1ns);--说明m是一个值为1ns的时间参数则程序语句:tmp1<=d0ANDse1AFTERm;--表示d0ANDse1经1ns延迟后才送到tem1。2、实体(ENTITY)说明6第十讲组合逻辑

5、电路的VHDL描述、竞争与冒险VHDL介绍(2)端口说明是描述器件的外部接口信号的说明,相当于器件的引脚说明。其格式为:PORT(端口名{,端口名}:方向数据类型名;:端口名{,端口名}:方向数据类型名);例如:PORT(a,b:INSTD_LOGIC;s:INSTD_LOGIC;y:OUTSTD_LOGIC);7第十讲组合逻辑电路的VHDL描述、竞争与冒险VHDL介绍端口方向包括:IN;--输入,符号:OUT;--输出,符号:INOUT;--双向,符号:BUFFER;--具有读功能的输出,符号:DQBUFFER端口8第十讲组

6、合逻辑电路的VHDL描述、竞争与冒险VHDL介绍9例:用VHDL语言编写的实体声明:libraryieee;useieee.std_logic_1164.all;entity or_gate isport(a:in bit;b: in bit;c: out bit);endor_gate;上面的实体声明描述了一个或门单元,它有三个引脚a、b、c。给出了三个引脚a、b、c的参数;in和out数据类型是bit。基本设计单元的实体,用于指明设计基本单元的行为、元件及内部连接关系,即定义设计单元的功能。结构体的结构:ARCHITECT

7、URE结构体名OF实体名IS[说明语句];--为内部信号、常数、数据类型、函数定义BEGIN[功能描述语句]ENDARCHITECTURE结构体名;3、结构体(ARCHITECTURE)10第十讲组合逻辑电路的VHDL描述、竞争与冒险VHDL介绍例如:或门的结构体ARCHITECTUREor1OFtemp1ISSIGNALy:STD_LOGIC;BEGINy<=aORb;ENDARCHITECTUREor1;11第十讲组合逻辑电路的VHDL描述、竞争与冒险VHDL介绍12第十讲组合逻辑电路的VHDL描述、竞争与冒险VHDL介绍

8、4、进程(PROCESS)进程语句属于并行语句,它在VHDL中使用最频繁、最能体现VHDL风格。进程语句格式:[进程标号:]PROCESS[(敏感信号参数表)][IS][进程说明部分]BEGIN顺序描述语句ENDPROCESS[进程标号];进程语句是并行处理语句,即各个进程是

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。