专用集成电路实验报告材料

专用集成电路实验报告材料

ID:29669344

大小:528.50 KB

页数:26页

时间:2018-12-22

专用集成电路实验报告材料_第1页
专用集成电路实验报告材料_第2页
专用集成电路实验报告材料_第3页
专用集成电路实验报告材料_第4页
专用集成电路实验报告材料_第5页
资源描述:

《专用集成电路实验报告材料》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实用标准文案专用集成电路实验报告班级:13050Z01姓名:潘恩高学号:1305024343任课教师:辛洁精彩文档实用标准文案实验一 开发平台软件安装与认知实验实验性质:验证性实验级别:必做开课单位:信息与通信工程学院通信工程系学时:2学时一、实验目的:1、了解XilinxISE9.2/QuartusII软件的功能。2、掌握XilinxISE9.2/QuartusII的VHDL输入方法。3、掌握XilinxISE9.2/QuartusII的原理图文件输入和元件库的调用方法。4、掌握XilinxISE9.2/QuartusII软件元件的生成方法和调用方法。5、掌握XilinxISE9.2/Qu

2、artusII编译、功能仿真和时序仿真。6、掌握XilinxISE9.2/QuartusII原理图设计、管脚分配、综合与实现、数据流下载方法。7、了解所编电路器件资源的消耗情况。二、实验器材:计算机、QuartusII软件或xilinxISE三、实验内容:1、本实验以三线八线译码器(LS74138)为例,在XilinxISE9.2软件平台上完成设计电路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunnerII系列XC2C256-7PQ208作为目标仿真芯片。2、用1中所设计的的三线八线译码器(LS74138)生成一个LS7413

3、8元件,在XilinxISE9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。四、实验源程序:libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;--Uncommentthefollowinglinestousethedeclarationsthatare--providedforinstantiatingXilinxprimitivecomponen

4、ts.--libraryUNISIM;--useUNISIM.VComponents.all;entityls74138isPort(g1:instd_logic;g2:instd_logic;inp:instd_logic_vector(2downto0);精彩文档实用标准文案y:outstd_logic_vector(7downto0));endls74138;architectureBehavioralofls74138isbeginprocess(g1,g2,inp)beginif((g1andg2)='1')thencaseinpiswhen"000"=>y<="00000001"

5、;when"001"=>y<="00000010";when"010"=>y<="00000100";when"011"=>y<="00001000";when"100"=>y<="00010000";when"101"=>y<="00100000";when"110"=>y<="01000000";when"111"=>y<="10000000";whenothers=>y<="00000000";endcase;elsey<="00000000";endif;endprocess;endBehavioral;五、实验结果与分析图1上图中,g1和g2为两个使能控制信号,inp为命令码输入信

6、号,y为8位译码输出信号。,当g1与g2均为高电平时,译码器正常工作,译码如上。生成元件如下:图2精彩文档实用标准文案五、预习与思考:思考:比较VHDL语言和原理图的设计方法,这两种设计各有哪些优缺点。原理图设计法-优缺点:优点:1)可以与传统的数字电路设计法接轨,即使用传统设计方法得到电路原理图,然后在QuartusⅡ平台完成设计电路的输入、仿真验证和综合,最后下载到目标芯片中。2)它将传统的电路设计过程的布局布线、绘制印刷电路板、电路焊接、电路加电测试等过程取消,提高了设计效率,降低了设计成本,减轻了设计者的劳动强度。缺点:1)原理图设计方法没有实现标准化,不同的EDA软件中的图形处理工

7、具对图形的设计规则、存档格式和图形编译方式都不同,因此兼容性差,难以交换和管理。2)由于兼容性不好,性能优秀的电路模块的移植和再利用非常困难难以实现用户所希望的面积、速度以及不同风格的综合优化3)原理图输入的设计方法不能实现真实意义上的自顶向下的设计方案,无法建立行为模型,从而偏离了电子设计自动化最本质的涵义。VHDL语言设计法-优缺点:优点:1)功能强大,灵活性强;2)不依赖于器件设计;3)可移植性,因为V

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。