专用集成电路实验报告

专用集成电路实验报告

ID:33650664

大小:1.02 MB

页数:35页

时间:2019-02-28

专用集成电路实验报告_第1页
专用集成电路实验报告_第2页
专用集成电路实验报告_第3页
专用集成电路实验报告_第4页
专用集成电路实验报告_第5页
资源描述:

《专用集成电路实验报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验一 EDA软件实验实验性质:验证性实验级别:必做开课单位:信息与通信工程学院通信工程系学时:4学时一、实验目的:1、了解XilinxISE6.2软件的功能。2、掌握XilinxISE6.2的VHDL输入方法。3、掌握XilinxISE6.2的原理图文件输入和元件库的调用方法。4、掌握XilinxISE6.2软件元件的生成方法和调用方法。5、掌握XilinxISE6.2编译、功能仿真和时序仿真。6、掌握XilinxISE6.2原理图设计、管脚分配、综合与实现、数据流下载方法。7、了解所编电路器件资源的消

2、耗情况。二、实验器材:计算机、QuartusII软件或XilinxISE三、实验内容:本实验以三线八线译码器(LS74138)为例,在XilinxISE6.2软件平台上完成设计电路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunnerII系列XC2C256-7PQ208作为目标仿真芯片。四、实验步骤:4.1XilinxISE6.2环境1、三线八线译码器(LS74138)VHDL电路设计(1)、三线八线译码器(LS74138)的VHDL源程序的

3、输入打开XilinxISE6.2编程环境软件ProjectNavigator,执行“file”菜单中的【NewProject】命令,为三线八线译码器(74LS138)建立设计项目。项目名称【ProjectName】为“Shiyan”,工程建立路径为“C:XilinxbinShiyan”,其中“顶层模块类型(Top-LevelModuleType)”为硬件描述语言(HDL),如图1所示。图1点击【Next】,弹出【SelecttheDeviceandDesignFlowfortheProject】对话

4、框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。各标签具体含义如下:l【DeviceFamily】:定义所选芯片器件族l【Device】:定义器件名l【Package】:定义器件封装形式l【SpeedGrade】:定义芯片工作速度等级l【Top-LevelModuleType】:定义顶层模块类型l【SynthesisTool】:定义综合工具l【Simulator】:定义仿真测试工具l【GeneratedSimulationLanguage】:定义硬件描述语言针对本试验所用开发板我们选择“CoolR

5、unnerXPLA3CPLDs”系列的“XCR3256XL-7PQ208”器件作为目标芯片进行仿真,如图2所示。图2完成具体选择后点击【Next】弹出对话框,在该对话框内创建文件资源。打开【NewSource】标签,弹出如图3所示对话框,在左侧方框中包含了用户可以创建的文件类型,包括以下内容:l【Schematic】:原理图类型文件l【StateDiagram】:状态图类型文件l【TestBenchWaveform】:波形类型测试文件l【UserDocument】:用户类型文件l【VerilogModul

6、e】:Verilog类型文件l【VerilogTestFixture】:Verilog语言描述类型测试文件l【VHDLLibrary】:VHDL库文件l【VHDLModule】:VHDL类型模块文件l【VHDLPackage】:VHDL类型文件封装库l【VHDLTestBench】:VHDL语言描述类型测试文件图3在【File】 标签下对话框内写入用户自定义的文件名称,标签【Locatior】下显示了新定义文件的创建路径,选中标签【Addtoprojet】前的对号标记,将新创建的文ls74138添加到工程

7、“Shiyan”中。点击【Next】,弹出如图4所示对话框,在此对话框中输入三线八线译码器(74LS138)的的端口信息。图4点击【Next】弹出【NewSourceInformation】对话框,在该对话框内显示了新建文件的属性及信息,如图5所示。图5点击【Finish】返回资源创建对话框,其中显示了新建文件“LS74138.vhdl”,如图6。图6点击【Next】弹出工程信息对话框【NewProjectInformation】,该对话框给出了所设计的工程信息,如图7所示。图7点击【Finish】标签结

8、束新建工程过程。进入XilinxISE文本编辑方式,在文本框中编辑输入8位加法器的VHDL源程序,如下图8所示:图8libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;--Uncommentthefollowinglinestousethedeclarationsthatare--pr

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。