海大-eda实验1参考答案

海大-eda实验1参考答案

ID:22896736

大小:22.54 KB

页数:12页

时间:2018-11-01

海大-eda实验1参考答案_第1页
海大-eda实验1参考答案_第2页
海大-eda实验1参考答案_第3页
海大-eda实验1参考答案_第4页
海大-eda实验1参考答案_第5页
资源描述:

《海大-eda实验1参考答案》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、LaboratoryExercise1Switches,Lights,andMultiplexersED实验参与答案Part1libraryieee;useieee.std_logic_1164.all;entitypart1isport(SW:instd_logic_vector(17downto0);LEDR:outstd_logic_vector(17downto0));endpart1;architectureBehaviorofpart1isbeginLEDR<=SW;endBehavior;part2libr

2、aryieee;useieee.std_logic_1164.all;--a2to1multiplexerentityentitymux21isport(in_x,in_y,in_s:instd_logic;out_m:outstd_logic);endmux21;--a2to1multiplexerarchitecturearchitecturestructuralofmux21issignalu,v:std_logic;beginu<=in_xand(notin_s);v<=in_yandin_s;out_m<=uo

3、rv;endstructural;--aeight-bitwide2to1multiplexerlibraryieee;useieee.std_logic_1164.all;--eight-bitwide2to1multiplexerentityentitymux21_8bitisport(SW:instd_logic_vector(17downto0);--SW:instd_logic_vector(15downto8);--SW:instd_logic_vector(17downto17);LEDR:outstd_l

4、ogic_vector(7downto0));endmux21_8bit;--eight-bitwide2to1multiplexerarchitecturearchitectureStructuralofmux21_8bitiscomponentmux21port(in_x,in_y,in_s:instd_logic;out_m:outstd_logic);endcomponent;beginU1:mux21portmap(in_x=>SW(0),in_y=>SW(8),in_s=>SW(17),out_m=>LEDR

5、(0));U2:mux21portmap(in_x=>SW(1),in_y=>SW(9),in_s=>SW(17),out_m=>LEDR(1));U3:mux21portmap(in_x=>SW(2),in_y=>SW(10),in_s=>SW(17),out_m=>LEDR(2));U4:mux21portmap(in_x=>SW(3),in_y=>SW(11),in_s=>SW(17),out_m=>LEDR(3));U5:mux21portmap(in_x=>SW(4),in_y=>SW(12),in_s=>SW

6、(17),out_m=>LEDR(4));U6:mux21portmap(in_x=>SW(5),in_y=>SW(13),in_s=>SW(17),out_m=>LEDR(5));U7:mux21portmap(in_x=>SW(6),in_y=>SW(14),in_s=>SW(17),out_m=>LEDR(6));U8:mux21portmap(in_x=>SW(7),in_y=>SW(15),in_s=>SW(17),out_m=>LEDR(7));endStructural;part3libraryieee;u

7、seieee.std_logic_1164.all;--a2to1multiplexerentityentitymux21isport(in_x,in_y,in_s:instd_logic;out_m:outstd_logic);endmux21;--a2to1multiplexerarchitecturearchitecturestructuralofmux21issignalsignal_u,signal_v:std_logic;beginsignal_u<=in_xand(notin_s);signal_v<=in

8、_yandin_s;out_m<=signal_uorsignal_v;endstructural;libraryieee;useieee.std_logic_1164.all;--a5to1multiplexerentityentitymux51isport(in5_u,in5_v,in5_w,in5_x,in5_

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。