vhdl的编码器和译码器的设计

vhdl的编码器和译码器的设计

ID:799376

大小:434.03 KB

页数:20页

时间:2017-09-05

vhdl的编码器和译码器的设计_第1页
vhdl的编码器和译码器的设计_第2页
vhdl的编码器和译码器的设计_第3页
vhdl的编码器和译码器的设计_第4页
vhdl的编码器和译码器的设计_第5页
资源描述:

《vhdl的编码器和译码器的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、长沙理工大学《计算机组成原理》课程设计报告王大为学院城南学院专业计算机科学与技术班级计算机06-02指导教师廖泰长学生姓名王大为学号200686250216课程成绩完成日期2009年1月18日课程设计任务书城南学院学院计算机科学与技术专业课程名称计算机组成原理课程设计时间2007~2008学年第一学期19~20周学生姓名王大为指导老师廖泰长题目基于VHDL的编码器和译码器的设计主要内容:本课程设计要求利用硬件描述语言VHDL的设计思想,设计计算机电路中编码器和译码器的各个模块,系统设计要求采用自顶向下的设计方

2、法,系统采用VHDL语言编程实现,并对各模块进行仿真验证和分析。要求:(1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。(3)学生按要求编写课程设计报告书,能正确阐述设计和实验结果。(4)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。应当提交的文件:(1)课程设计报告。(2)课程设计附件(主要是源程序)。课程设计成绩评定学院城南学院专业计算机科学与技术班级计06

3、-02班学号200686250216学生姓名王大为指导教师廖泰长课程成绩完成日期2008年1月18日指导教师对学生在课程设计中的评价评分项目优良中及格不及格课程设计中的创造性成果学生掌握课程内容的程度课程设计完成情况课程设计动手能力文字表达学习态度规范要求课程设计论文的质量指导教师对课程设计的评定意见综合成绩指导教师签字年月日基于VHDL的编码器和译码器的设计学生姓名:王大为指导老师:廖泰长摘要编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由八-三优先编码器作为实例代

4、表,而译码器则包含三-八译码器和二-四译码器两个实例模块组成。课程设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿真和分析等。课程设计结构简单,使用方便,具有一定的应用价值。关键字计算机电路;EDA;编码器;译码器AbstractEncoderanddecoderisabasiccomputercircuitdevices.ThisCurriculumdesignbyEDAdesignencoderanddecoder.Encodersfrom8-3priorityencoderf

5、orexample,anddecoderincludes3-8decoderandthe2-4examplesofthetwodecodermodules.Andthentoprogram,thetimingsimulationandanalysis.Curriculumdesign,simplestructure,easytouseandhasavalue.目录1引言11.1设计的目的11.2设计的基本内容12EDA、VHDL简介12.1EDA技术12.1.1EDA技术的概念12.1.2EDA技术的特点22

6、.2硬件描述语言——VHDL22.2.1VHDL的简介22.2.2VHDL语言的特点22.2.3VHDL的设计流程33设计过程43.1编码器的工作原理43.2译码器的工作原理43.3课程设计中各部分的设计43.3.1八-三优先编码器的设计43.3.2三-八译码器的设计53.3.3二-四译码器的设计64系统仿真74.1八-三优先编码器仿真及分析74.2三-八译码器仿真及分析84.3二-四译码器仿真及分析8结束语10致谢11参考文献12附录131引言随着社会的发展,科学技术也在不断的进步。计算机从先前的采用半导体

7、技术实现的计算器到现在广泛应用的采用高集成度芯片实现的多功能计算器。计算机电路是计算机的重要组成部分,了解计算机电路的知识是促进计算机的发展的先决条件。而编码器和译码器是计算机电路中的基本器件,对它们的了解可以为以后的进一步深化研究打下一个良好的基础。本设计主要介绍的是一个基于超高速硬件描述语言VHDL对计算机电路中编码器和译码器进行编程实现。1.1设计的目的本次设计的目的就是通过实践掌握计算机组成原理的分析方法和设计方法,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过

8、学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对基于VHDL的编码器和译码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。1.2设计的基本内容根据计算机组成原理中组合逻辑电路设计的原理,利用VHDL设计计算机电路中编码器和译码器的各个模块,并使用EDA工具对各模块进行仿真验证和分析。编码器

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。