vivado教程

vivado教程

ID:68991520

大小:61.50 KB

页数:18页

时间:2021-10-24

vivado教程_第1页
vivado教程_第2页
vivado教程_第3页
vivado教程_第4页
vivado教程_第5页
vivado教程_第6页
vivado教程_第7页
vivado教程_第8页
vivado教程_第9页
vivado教程_第10页
资源描述:

《vivado教程》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、-.Vivado2021.4使用教程〔一个完成工程的建立〕弹出主菜单界面,点击createnewproject 这是介绍界面,next~-.word.zl.-. 添加好工程名,和工程位置,next~-.word.zl.-.选择rtlProject,选择板卡型号,我这里使用的是A-7系列的basys3,用户根据自己的板卡型号自定义,next~ 这一面是总结,finish~-.word.zl.-.左边这一栏,我们用到哪里解释哪里,首先,点击addsource添加verilogHDL文件 选择新建一个设计文件,next~-.

2、word.zl.-. 左边是添加已有的文件,右边是新建一个verilogHDL文件,我们前面没有文件,所以选择新建一个新的文件 上面是文件类型,我用的是verilog,添加文件名,我这里用的是流水灯,文件名为led_water-.word.zl.-. 添加成功,点击finish 点击OK-.word.zl.-. Yes双击这个文件,翻开编写-.word.zl.-. led_water这是一个流水灯工程编写完成后,点击如下图可以,编译文件,也可查看工程的RTL图-.word.zl.-. 这是生成的RTL图,双击如下图局部

3、可以将窗口放大。原理图出来后,说明该工程没有语法错误,然后再次addsource,选择addorcreatesimulationsource添加测试文件,-.word.zl.-. 同样选择新建一个文件 -.word.zl.-. -.word.zl.-. Yes 找到到tb_led_water文件双击翻开-.word.zl.-. tb_led_water编写测试文件点击runsimulation点击runbehavioralsimulation进展仿真12reg[25:0]t;//设定一个26位的计数器3paramete

4、rTIME=26'd50000000;4//parameterTIME=26'd500;//justtest注意,仿真前把测试文件改小一点,不然跑的太慢,-.word.zl.-.点击run-all让流水灯跑起来, 可以看到流水灯的数值在变化,说明设置正确。然后要做的是下板子仿真-.word.zl.-.点击addsource添加约束文件,addconstraints 新建一个引脚约束文件,OK-.word.zl.-. 点击新建好的文件, xdc约束将约束文件容复制进去,-.word.zl.-.最后点击大综合,对工程进展综

5、合,综合完成后就可以下板子了。〔下板子的时候要把测试时候改的代码改回来〕点击opentarget——>autoconnect,会自动连接设备,或者直接连接recenttarget,直接选择你的设备-.word.zl.-.点击programdevice 点击program。即可下载成功-.word.zl.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。