课程设计-基于cpld的数字锁设计

课程设计-基于cpld的数字锁设计

ID:6819014

大小:200.50 KB

页数:22页

时间:2018-01-27

课程设计-基于cpld的数字锁设计_第1页
课程设计-基于cpld的数字锁设计_第2页
课程设计-基于cpld的数字锁设计_第3页
课程设计-基于cpld的数字锁设计_第4页
课程设计-基于cpld的数字锁设计_第5页
资源描述:

《课程设计-基于cpld的数字锁设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、湖南工程学院课程设计任务书课程名称:嵌入式系统题目:基于CPLD的数字锁专业班级:电子信息0781学生姓名:指导老师:审批:任务书下达日期2011年01月02日设计完成日期2011年01月21日22设计内容与设计要求CPLD为复杂可编程逻辑器件,通过EDA技术对其进行编程,可将一个较复杂的数字系统集成于一个芯片中,制成专用集成电路芯片,并可随时在系统修改其逻辑功能。有关知识可参见相关教材或参考书。一.设计内容设计一个二位十进制数字锁,并验证其操作。具体要求如下:(1)开锁代码为二位十进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开

2、锁指示灯LT。否则,系统进入“错误”状态,并发出报警信号。(2)开锁程序由设计者确定,并要求锁内给定的密码是可调的,且预置方便,保密性好。(3)并行数字锁的报警方式是点亮指示灯LF,并使喇叭鸣叫来报警,直到按下复位开关,报警才停止。此时,数字锁又自动进入等待下一次开锁的状态。二、设计要求:1、设计思路清晰,给出整体设计框图;2、在MAX+PLUSⅡ中设计各单元电路,完成其功能仿真和编译并生成低层模块;3、在MAX+PLUSⅡ中完成顶层设计并编译通过;4、在MAX+PLUSⅡ中完成设计下载并调试电路;5、写出设计报告;22主要设计条件1.提供EDA实验室;2.提供EL实验箱

3、和CPLD芯片;3.提供ALTERA公司的MAX+PLUS10.1设计软件说明书格式1.课程设计封面;2.任务书;3.说明书目录;4.设计总体思路,基本原理和框图(顶层电路图);5.单元电路设计(各次级模块电路图);6.设计仿真;7.编程下载;8.总结与体会;9.附录;10.参考文献。22进度安排星期一、二:下达设计任务书,介绍课题内容与要求;介绍MAX+plusⅡ软件的使用;查找资料,确定总体设计方案和单元电路设计;星期三~第二周星期一:单元电路设计与仿真,硬件下载;第二周星期二、三:硬件下载;第二星期四、五:书写设计报告,打印相关图纸;答辩参考文献1.康华光主编.电子

4、技术基础(数字部分),高等教育出版社。2.阎石主编.电子技术基础(数字部分),清华大学出版社。3.陈大钦主编,电子技术基础实验,高等教育出版社。4.彭介华主编,电子技术课程设计指导,高等教育出版社。5.张原编著,可编程逻辑器件设计及应用,机械工业出版社。6.荀殿栋,徐志军编著,数字电路设计实用手册,电子工业出版社。7.MAX+PLUSⅡ入门8.刘洪喜,陆颖编著.VHDL电路设计实用教程清华大学出版社22目录一.设计总体思路61.1基本原理61.2总设计框图6二.单元电路设计及模块仿真72.1消除抖动模块72.2键盘扫描模块92.3七段数码显示电路模块102.4译码电路模块

5、122.5密码控制电路模块....152.6总电路17三.编程下载18四.总结与体会21五.参考文献2222一、总体设计思路1.1基本原理:本次设计我把逻辑功能划分为两个大的模块:控制部分和处理部分。控制模块是整个系统的控制核心,负责接收其模块传来的输入信号,再根据系统的功能产生相应的控制信号送到相关的模块。具体操作如下:密码预先存入寄存器中,开锁时,输入密码存入另一寄存器中,当按下“确定”键时,启动比较器,比较两个寄存器中的内容,当结果相同时M=1,LT绿灯亮,并开锁;当结果不同时M=0,LF红灯亮,不开锁。用户需要修改密码时,先开锁,再按“设定密码”,清除预先存入的密

6、码,通过键盘输入新的2位十进制数,然后再按下确定键完成操作。1.2总设计框图如下:键盘译码开锁LED显示输入密码寄存器键盘消抖密码预存寄存器绿灯亮键盘扫描红灯亮报警M=1消除密码输入两位十进制数密码修改YN二、单元模块设计222.1消除抖动模块我们使用的按键通常都是机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动(如下图所示)。然而,抖动时间的长短由按键的机械特性决定,一般为5ms~10ms。这是一个很重要的时间参数,在很多场合都要用到。在这里,我们用

7、软件去除抖动。当检测到键闭合时,执行一个延时程序产生数毫秒的延时,让前沿弹跳消失后再检测闭合;当发现键松开后,也给数毫秒的延时,当后沿弹跳消失后,再检测下一个键的输入。或者当前沿弹跳消失后,将检测到的信号所存,然后再输出,这样就不需要后沿延时了。消除抖动的电路封装图如下:程序如下:22LIBRARYieee;USEieee.std_logic_1164.ALL;USEieee.std_logic_arith.ALL;USEieee.std_logic_unsigned.ALL;LIBRARYaltera;--抖动USEalte

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。