基于cpld的数字跑表课程设计1

基于cpld的数字跑表课程设计1

ID:3143677

大小:541.00 KB

页数:21页

时间:2017-11-19

基于cpld的数字跑表课程设计1_第1页
基于cpld的数字跑表课程设计1_第2页
基于cpld的数字跑表课程设计1_第3页
基于cpld的数字跑表课程设计1_第4页
基于cpld的数字跑表课程设计1_第5页
资源描述:

《基于cpld的数字跑表课程设计1》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、湖南工程学院课程设计课程名称嵌入式系统课题名称基于CPLD的数字跑表专业电子信息工程班级电子信息0781学号姓名指导教师2010年12月23日20目录第一章引言…………………………………………...1第二章单元模块设计与仿真………………………….22.1顶层文件的编写…………………………………....22.2总波形图…………………………………………....42.3300000分频模块FENPIN……………………….....52.410进制计数模块SHI……………………………...62.560进制计数模块SECOND………………………….72.6防抖动模块FANG………………………

2、…………..92.7启停模块QT………………………………………..102.8与门模块AND………………………………………122.9非门模块NOT……………………………...……….132.10七段译码显示XIANSHI……………………………14心得体会………………………………………………....1820第一章引言20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活

3、性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。EDA(ElectronicDesignAutomation)电子设计自动化技术作为

4、现代电子技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用既定描述语言和EDA软件来完成对系统硬件功能的实现。不难理解,EDA技术已不是某一学科的分支,或某种新的技能技术,它应该是一综合性学科,它融合多学科于一体,又渗透于各学科之中,它打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的

5、发展方向。CPLD即复杂可编程逻辑器件,早期CPLD是从GAL的结构扩展而来,但针对GAL的缺点进行了改进,因此可用于各种现实生活中的应用,比如说本次课程设计数字跑表。20第二章单元模块设计与仿真2.1、顶层文件的编写:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitywatchisport(clk1,clr0,clky,q0:instd_logic;q:outstd_logic_vector(6downto0);dp:ou

6、tstd_logic;d:outstd_logic_vector(7downto0));endwatch;architecturebehaveofwatchiscomponentfenport(clk:instd_logic;c:outstd_logic);endcomponent;componentshiport(clk,clr:instd_logic;shi0:outstd_logic_vector(3downto0);co:outstd_logic);endcomponent;componentmiaoport(clr,clk,en:instd_logic;sec0,sec

7、1:outstd_logic_vector(3downto0);co:outstd_logic);endcomponent;componentand2aport(a,b:instd_logic;c:outstd_logic);endcomponent;20componentnot2aport(a:instd_logic;c:outstd_logic);endcomponent;componentdouport(din,clk:instd_logic;dout:outstd_log

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。