VHDL四位加法器实验报告.doc

VHDL四位加法器实验报告.doc

ID:60789181

大小:171.00 KB

页数:7页

时间:2020-12-18

VHDL四位加法器实验报告.doc_第1页
VHDL四位加法器实验报告.doc_第2页
VHDL四位加法器实验报告.doc_第3页
VHDL四位加法器实验报告.doc_第4页
VHDL四位加法器实验报告.doc_第5页
资源描述:

《VHDL四位加法器实验报告.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、硬件描述语言实验:四位加法器实验实验人姓名:王昭学号:实验地点:B3-216实验三:--QuartusIIVHDLTemplate--BasicShiftRegisterlibraryieee;useieee.std_logic_1164.all;entityadder4isport(a,b:instd_logic_vector(3downto0);ci:instd_logic;s:outstd_logic_vector(3downto0);co:outstd_logic);endentity;architecturertlofadder4issignalc

2、0,c1,c2:std_logic;begins(0)<=a(0)xorb(0)xorci;c0<=(a(0)andb(0))or(a(0)andci)or(b(0)andci);s(1)<=a(1)xorb(1)xorc0;c1<=(a(1)andb(1))or(a(1)andc0)or(b(1)andc0);s(2)<=a(2)xorb(2)xorc1;c2<=(a(2)andb(2))or(a(2)andc1)or(b(2)andc1);s(3)<=a(3)xorb(3)xorc2;co<=(a(3)andb(3))or(a(3)andc2)or(b(

3、3)andc2);endrtl;实验原理:a和b为两个四位的数,定义三个信号量,c0,c1,c2;低位进位si=0;s(0)=a(0)+b(0)+si;进位为c0;s(1)=a(1)+b(1)+c0;进位为c1;s(2)=a(2)+b(2)+c1;进位为c2;s(3)=a(3)+b(3)+c2;进位为co;低位进位si都为0;如果a+b的值大于15时,则co为1,s=a+b-16;如果不是大于15,则相加时则s=a+b的值,co=0;经仿真可以验证此四位加法器正确。实验四:--QuartusIIVHDLTemplate--BasicShiftRegister

4、libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityadder4_2isport(a,b:std_logic_vector(3downto0);ci:instd_logic;s:outstd_logic_vector(3downto0);co:outstd_logic);endentity;architecturertlofadder4_2issignalaa,bb,ss:std_logic_vector(4downto0);beginaa<='0'&a;bb

5、<='0'&b;ss<=aa+bb+ci;s<=ss(3downto0);co<=ss(4);endrtl;实验分析:此实验与上一实验功能相同,但此实验代码中运用了高层次抽象行为描述,直接使用了现实中使用的加法运算。定义了aa,bb,ss三个信号量,来存储a,b,s的值,通过aa<=’0’&a把四位的a和b变成五位。来实现ss=aa+bb+si;最终把ss的低四位赋给s;ss的高位赋给co;便实现了四位加法器。观察仿真图,可以发现,si=0;因为最低位没有最低进位,通过高层次抽象行为描述直接把aa(4downto0)+bb(4downto0)的和赋给ss,把

6、ss(3downto0)赋给s,co=ss(4);从仿真图上看出来,计算正确。此四位加法器正确。实验八:--QuartusIIVHDLTemplate--BasicShiftRegisterlibraryieee;useieee.std_logic_1164.all;entityadder4_3isport(A,B:instd_logic_vector(3downto0);Ci:instd_logic;S:outstd_logic_vector(3downto0);Co:outstd_logic);endentity;architecturefullofad

7、der4_3iscomponentfull_adderisport(a:instd_logic;b:instd_logic;ci:instd_logic;s,co:outstd_logic);endcomponent;signalc0,c1,c2:std_logic;beginu0:full_adderportmap(A(0),b(0),Ci,S(0),c0);u1:full_adderportmap(A(1),B(1),c0,S(1),c1);u2:full_adderportmap(A(2),B(2),c1,S(2),c2);u3:full_adderp

8、ortmap(A(3),B(3),c2,S(3),C

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。