vhdl八位加法器设计实验报告.docx

vhdl八位加法器设计实验报告.docx

ID:61419604

大小:151.33 KB

页数:7页

时间:2021-01-28

vhdl八位加法器设计实验报告.docx_第1页
vhdl八位加法器设计实验报告.docx_第2页
vhdl八位加法器设计实验报告.docx_第3页
vhdl八位加法器设计实验报告.docx_第4页
vhdl八位加法器设计实验报告.docx_第5页
资源描述:

《vhdl八位加法器设计实验报告.docx》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、电子科技大学实验报告学生姓名:缪麒龙学号:17指导教师:陈学英日期:2013年4月13日一、实验室名称:科B453二、实验项目名称:八位全加器的设计三、实验原理:1、原理:加法器是数字系统中的基本逻辑器件例如为了节省资源减法器和硬件乘法器都可由加法器来构成。但宽位加法器的设计是很耗费资源的,因此在实际的设计和相关系统的开发中需要注意资源的利用率和进位速度等两方面的问题。多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级

2、联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。实验表明,4位二进制并行加法器和串行级加法器占用几乎相同的资源。这样,多位数加法器由4位二进制并行加法器级联构成是较好的折中选择。因此本实验中的8位加法器采用两个4位二进制并行加法器级联而成。2、实现框图:1)四位加法器四位加法器可以采用四个一位全加器级连成串行进位加法器,实现框图如下图所示,其中CSA为一位全加器。显然对于这种方式因高位运算必须要等低位进位来到后才能进行因此它的延迟非常可观高速运算肯定无法胜任。通过对串行进位加法

3、器研究可得:运算的延迟是由于进位的延迟。因此,减小进位的延迟对提高运算速度非常有效。下图是减少了进位延迟的一种实现方法。可见,将迭代关系去掉,则各位彼此独立,进位传播不复存在。因此,总的延迟是两级门的延迟,其高速也就自不待言。2)八位加法器用两个并行四位加法器实现一个八位加法器的框图如下:四位全加器Cins[3..0]a[3..0]四位全加器a[7..0]s[7..4]co四、实验目的:1.掌握图形设计方法;2.熟悉MAX+PLUSⅡ软件的使用及设计流程;3.掌握全加器原理,能进行多位加法器的设计。五、实验内容:1、用VHDL设计一

4、个四位并行全加器;2、用图形方式构成一个八位全加器的顶层文件;3、完成八位全加器的时序仿真。六、实验器材(设备、元器件):PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干。七、实验步骤:1、用VHDL语言或图形输入法设计一个并行四位全加器;2、利用步骤一得到的四位全加器使用图形输入法实现一个8位全加器;3、对最后的顶层文件进行编译、仿真;4、如果时间有余可以直接设计一个八位的串行全加器,比较上述两种方法综合后的不同(主要从消耗资源和运算速度考虑)。九、实验结论:1、实验各模块源程序以及相应仿真波形(1)四位全加器

5、源程序仿真波形(2)八位全加器源程序仿真波形RTL图2、实验结果分析(1)从实验结果来看,四位全加器以及八位全加器均完成了对输入数据的相加处理以及对处理结果的输出,从波形上看,两个加法器的波形都有毛刺和延迟,从而验证了系统延迟的存在。(2)总结及心得体会首先,这次实验使我加深了对并行加法器的认识,并行加法器是以资源换取速度的器件;相比而言串行加法器是牺牲速度换取资源的器件,通过这次实验,对串行器件和并行器件的折中和选择有了初步认识。其次,总体感觉这次实验的内容浅显,因而实验的效率主要由对仿真软件的熟悉程度决定,花在熟悉软件的时间占了

6、很大部分。这次实验中比较成功之处在于对实验原理理解较为透彻,编程工作比较轻松。然而由于对软件的生疏以及编程语法以及纠错方法的不熟悉导致试验进度很慢,因此课下的主要提高在于对VHDL语言的熟悉以及对软件的熟悉。十、思考题

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。