实验报告-时序逻辑电路的Verilog-HDL实现.doc

实验报告-时序逻辑电路的Verilog-HDL实现.doc

ID:59524641

大小:221.00 KB

页数:6页

时间:2020-11-07

实验报告-时序逻辑电路的Verilog-HDL实现.doc_第1页
实验报告-时序逻辑电路的Verilog-HDL实现.doc_第2页
实验报告-时序逻辑电路的Verilog-HDL实现.doc_第3页
实验报告-时序逻辑电路的Verilog-HDL实现.doc_第4页
实验报告-时序逻辑电路的Verilog-HDL实现.doc_第5页
资源描述:

《实验报告-时序逻辑电路的Verilog-HDL实现.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、时序逻辑电路的VerilogHDL实现一.实验要求(1):编写JK触发器、8位数据锁存器、数据寄存器的VerilogHDL程序,并实现其仿真及其测试程序;(2):在实验箱上设计含异步清零和同步使能的计数器。(3):进行波形仿真测试后;画出仿真波形。(4):写出实验心得二.实验内容:(1)1.JK触发器的元件符号如图7.14所示,其中J、K是数据输入端,CLR是复位控制输入端,当CLR=0时,触发器的状态被置为0态;CLK是时钟输入端;Q和QN是触发器的两个互补输出端。JK触发器的元件符号 JK触发器的状态方程为  Qn+1=Jn+

2、QnJK触发器的verilogHDL程序modulejkff_rs(clk,j,k,q,rs,set);inputclk,j,k,set,rs;outputregq;always@(posedgeclk,negedgers,negedgeset)beginif(!rs)q<=1'b0;elseif(!set)q<=1'b1;elsecase({j,k})2'b00:q<=q;2'b01:q<=1'b0;2'b10:q<=1'b1;2'b11:q<=~q;default:q<=1'bx;endcaseendendmoduleJK触发

3、器的功能:带异步清0,异步置1(低电平有效)JK触发器的仿真结果2.8位数据锁存器锁存器元件符号如图所示。CLR是复位控制输入端,当CLR=0时,8位数据输出Q[7..0]=。ENA是使能控制输入端,当ENA=1时,锁存器处于工作状态,输出Q[7..0]=D[7..0];ENA=0时,锁存器的状态保持不变。OE是三态输出控制端,当OE=1时,输出为高阻态;OE=0时,锁存器为正常输出状态。8位数据锁存器元件符号8位数据锁存器的verilogHDL程序modulett1373(le,oe,q,d);inputle,oe;input[

4、7:0]d;outputreg[7:0]q;always@(le,oe,d)beginif((!oe)&&(le))q<=d;elseq<=8'bz;endendmodule8位数据锁存器的功能:锁存器一次锁存8位数据,功能类似74LS3738位数据锁存器的仿真结果3.8位数据寄存器电路的元件符号如图7.18所示,其中CLR是复位控制输入端;LOD是预置控制输入端;S是移位方向控制输入端,当S=1时,是右移移位寄存器,S=0时,是左移移位寄存器;DIR是右移串入输入信号;DIL是左移串入输入信号。数据寄存器的verilogHDL程

5、序modulereg_w(dout,din,clk,clr);parameterWIDTH=7;inputclk,clr;input[WIDTH:0]din;outputreg[WIDTH:0]dout;always@(posedgeclk,posedgeclr)beginif(clr)dout<=0;elsedout<=din;endendmodule数据寄存器的功能:该8位数据寄存器每次对8位并行输入的数据信号进行同步寄存,且具有异步清零端(clr)数据寄存器的仿真结果(2).8位二进制计数器的元件符号如图7.20所示,CLR

6、是复位控制输入端;ENA是使能控制输入端;LOAD是预置控制输入端;D[7..0]是8位并行数据输入端;UPDOWN是加减控制输入端,当UPDOWN=0时,计数器作加法操作,UPDOWN=1时,计数器作减法操作;COUT是进/借位输出端。8位二进制计数器元件符号含异步清零和同步使能的计数器的verilog程序moduleCNT4B(CLK,RST,ENA,CLK_1,RST_1,ENA_1,OUTY,COUT);inputCLK,RST,ENA;outputCLK_1,RST_1,ENA_1;output[3:0]OUTY;out

7、putCOUT;reg[3:0]OUTY;regCOUT;wireCLK_1;wireRST_1;wireENA_1;assignCLK_1=CLK;assignRST_1=RST;assignENA_1=ENA;always@(posedgeCLKornegedgeRST)beginif(!RST)beginOUTY<=4'b0000;COUT<=1'b0;endelseif(ENA)beginOUTY<=OUTY+1'b1;COUT<=OUTY[0]&OUTY[1]&OUTY[2]&OUTY[3];endendendmodul

8、e说明:rst是异步清0信号,高电平有效;     clk是锁存信号;D[3..0]是4位数据输入端;        ENA是使能信号4位计数器的功能:计数使能、异步复位和计数值并行预置含异步清零和同步使能的计数器的仿真结果二.实验心得:很喜欢这种

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。