VHDL语言及应用软件ppt课件.ppt

VHDL语言及应用软件ppt课件.ppt

ID:58878921

大小:414.50 KB

页数:58页

时间:2020-09-30

VHDL语言及应用软件ppt课件.ppt_第1页
VHDL语言及应用软件ppt课件.ppt_第2页
VHDL语言及应用软件ppt课件.ppt_第3页
VHDL语言及应用软件ppt课件.ppt_第4页
VHDL语言及应用软件ppt课件.ppt_第5页
资源描述:

《VHDL语言及应用软件ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL语言及应用软件的介绍传统的系统硬件设计方法采用自下而上(BottomUp)的设计方法采用通用的逻辑元、器件在系统硬件设计的后期进行仿真和调试主要设计文件是电原理图VHDL语言设计硬件电路的优点美国国防部1982年开发VHDL(Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage)语言,是当前广泛使用的HDL语言之一,并被IEEE和美国防部采用为标准的HDL语言。设计技术齐全、方法灵活、支持广泛系统硬件描述能力强可以与工艺无关编程语言标准、规范、易于共享和复用VHDL语言设计的基本单

2、元及其构成一个完整的VHDL语言程序通常包含实体(Entity):用于描述所设计的系统的外部接口信号;构造体(Architecture):用于描述系统内部的结构和行为;配置(Configuration):存放各设计模块都能共享的数据类型、常数和子程序库;包集合(Package):用于从库中选取所需单元来组成系统设计的不同版本;库(Library):存放已经编译的实体、构造体、包集合和配置。VHDL程序设计基本结构实体说明规定此实体输入与输出的数目与类型entity实体名is[generic(类属参数说明)];[port(端口说明)];end 实体名;类属

3、参数说明:generic(常数名:数据类型 :=数值);在端口说明前,用于指定参数。端口说明:在entity语句的实体说明部分,常用port语句描述实体对外界连接的端口(数目、方向和数据类型)。port(端口名:端口方向 端口数据类型;…端口名:端口方向 端口数据类型;);entityNAND2isport(A,B:inBIT;Z:outBIT);endNAND2;端口方向:in(输入),只能读,用于:时钟输入、控制输入(装入、复位、使能)、单向数据输入;out(输出),只能被赋值,用于不能反馈的输出;inout(输入输出),既可读又可被赋值,被读的值是

4、端口输入值而不是被赋值,作为双向端口。buffer(缓冲),类似于输出,但可以读,读的值是被赋值,用做内部反馈用,不能作为双向端口使用构造体构造体定义实体功能的一种实现构造体的结构:architecture构造体名of实体名is{块说明项}begin{并发语句}end构造体名;块说明项(或定义语句),位于architecture和begin之间,对构造体内部的使用信号、常数、数据类型和函数进行说明并行语句处于begin与end之间,描述构造体的行为与连接关系entityCOUNTER3isport(clk:inbit;reset:inbit;count:

5、outintegerrange0to7);endCOUNTER3;architectureMY_ARCHofCOUNTER3issignalcount_tmp:integerrange0to7;beginprocessbeginwaituntil(clk'eventandclk='1');ifreset='1'orcount_tmp=7thencount_tmp<=0;elsecount_tmp<=count_tmp+1;endif;endprocess;count<=count_tmp;endMY_ARCH;VHDL数据对象常量(CONSTANT)CO

6、NSTANT常量名:数据类型﹕=表达式;CONSTANTFBUS﹕BIT_VECTOR﹕=“010115”;变量(VARIABLE)VARIABLE变量名:数据类型:=初始值;VARIABLEA:INTEGER;--定义A为整数型变量VARIABLEB,C:INTEGER:=2;--定义B和C为整型变量,初始值为2信号(SIGNAL)SIGNAL信号名:数据类型﹕=初始值;SIGNALS1:STD_LOGIG﹕=0;--定义了一个标准位的单值信号S1,初始值为低电平SIGNALS2,S3:BIT;--定义了两个位BIT的信号S2和S3布尔(BOOLEAN

7、)数据类型TYPEBOOLEANIS(FALES,TRUE);位(BIT)数据类型TYPEBITIS(‘0’,‘1’);位矢量(BIT_VECTOR)数据类型TYPEBIT_VETORISARRAY(NATURALRANGE<>)OFBIT;SIGNALA﹕BIT_VECTOR(7TO0);信号A被定义为一个具有8位位宽的矢量,它的最左位是A(7),最右位是A(0)。字符(CHARACTER)数据类型字符类型通常用单引号引起来,如‘A’。字符类型区分大小写,如‘B’不同于‘b’。字符类型已在STANDARD程序包中作了定义。整数(INTEGER)数据类型

8、整数类型的数代表正整数、负整数和零。在VHDL中,整数的取值范围是-214736

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。