VHDL硬件描述语言及系统设计ppt课件.ppt

VHDL硬件描述语言及系统设计ppt课件.ppt

ID:59414033

大小:2.12 MB

页数:97页

时间:2020-09-19

VHDL硬件描述语言及系统设计ppt课件.ppt_第1页
VHDL硬件描述语言及系统设计ppt课件.ppt_第2页
VHDL硬件描述语言及系统设计ppt课件.ppt_第3页
VHDL硬件描述语言及系统设计ppt课件.ppt_第4页
VHDL硬件描述语言及系统设计ppt课件.ppt_第5页
资源描述:

《VHDL硬件描述语言及系统设计ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL与数字系统设计VHDL语言VHDL(Very-High-SpeedIntegratedCircuitHardwareDescription)超高速集成电路硬件描述语言。其最大特点是对电路的行为与结构进行高度抽象化规范化,并对设计进行模拟验证与综合优化,使分析和设计高度自动化。支持VHDL语言的软件平台Ispexpert、Isplever、Max+PlusII由软件设计到硬件实现之间的媒介CPLD/FPGA(可编程器件)在编辑器下面编写VHDL源程序存盘(文件名为实体名,后缀为.VHD)编译软件仿真管脚安排(锁定管角)下载由软件设计到硬件实现的流程第1章VHDL的程序结构(1)L

2、IBRARY(库)和PACKAGE(程序包)的声明部分作用:库(Library)用于存放预先编译好的程序包(Package),程序包中定义了数据集合体、逻辑操作和元件等。主要是声明在设计或实体中将用到的常数,数据类型,元件及子程序等。使用格式:LIBRARY库名;USE库名.程序包名.All;设计实体实体描述结构体实体描述主要包括类属和端口两部分说明。结构体—实体的内部描述,结构体主要包括行为、结构和数据流这3部分内容的描述。(2)ENTITY定义作用:定义本设计的输入/出端口,即定义电路的外观,即I/O接口的类型和数量使用格式:…端口名:端口模式数据类型;);ENTITY实体名IsEnd实体

3、名;格式:Port(端口名:端口模式数据类型;(3)ARCHITECTURE定义作用:定义实体的实现。即电路的具体描述,说明电路执行什么动作或实现功能。ARCHITECTURE结构体名Of实体名IsBegin描述语句;End结构体名;使用格式:举例:设计一个与门电路逻辑符号真值表LibraryIEEE;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;Entityand2isPort(A:inbit;B:inbit;Y:outbit);Endand2;--定义输入输出端口名字,模式(Mode),信号类型--注意最后语句的分号在括

4、号外实体定义:打开库文件,和常用的程序包ArchitectureNaofand2isBeginY<=’0’whena=’0’andB=‘0’else’0’whenA=’1’andB=‘0’else’0’whenA=’0’andB=‘1’else‘1’;EndNa结构体定义:端口模式有以下几种类型:IN;OUT;INOUT;BUFFER。ArchitectureNbofand2isBeginc<=’1’whena=’1’andb=‘1’else‘0’;EndNb;以上结构体表达何种电路?一个实体可以有几个结构体,即结构体的定义可以有不同的形式结论:第2章VHDL语言要素2.1VHDL语言规则数字

5、型文字、字符串文字、标识符、下标名、段名2-2数据类型数据类型分类:逻辑信号类型和数值信号类型。2-2-1逻辑数据类型(1)布尔代数(Boolean)型定义位置:在std库的standard程序包中进行定义。信号形式:FALSE,TRUE(2)位(Bit)定义位置:在std库的standard程序包中进行定义。信号形式:0,1(低电位,高电位)编码器:输入信号输出信号(3)位数组类型(Bit_Vector)定义位置:在std库的standard程序包中进行定义。例:SignalA:bit_vector(0to7);SignalB:bit_vector(2downto0);输入信号输出信号(4)

6、标准逻辑型(Std_Logic)定义位置:在IEEE库的std_logic_1164程序包中进行定义可以看出,这个“标准逻辑”信号定义,比“位即bit”信号对于数字逻辑电路的逻辑特性描述更完整、更真实。所以在VHDL的程序里,对于逻辑信号的定义,通常都是采用这个“标准逻辑”信号形式。使用这类数据信号,必须包含下面两条声明语句:LibraryIEEE;UseIEEE.std_logic_1164.all;(5)标准逻辑数组类型(Std_Logic_vector)定义位置:在ieee库的std_logic_1164程序包中进行定义。Bit_Vector与Std_Logic_vector的区别在于数

7、组的每一位前者为BIT型(0,1)后者为Std_Logic型2-2-2数值数据类型(1)整数(Integer)定义位置:在std库的standard程序包中进行定义。即数值范围为-231~231。(2)无符号(Unsigned)和有符号(Signed)类型定义位置:有符号(Signed)和无符号(Unsigned)逻辑信号定义在库IEEE的程序包std_logic_arith中。有符号类型数据代表

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。