硬件描述语言VHDL基础课件.ppt

硬件描述语言VHDL基础课件.ppt

ID:57421079

大小:147.00 KB

页数:31页

时间:2020-08-18

硬件描述语言VHDL基础课件.ppt_第1页
硬件描述语言VHDL基础课件.ppt_第2页
硬件描述语言VHDL基础课件.ppt_第3页
硬件描述语言VHDL基础课件.ppt_第4页
硬件描述语言VHDL基础课件.ppt_第5页
资源描述:

《硬件描述语言VHDL基础课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、2硬件描述语言VHDL基础2.1概述2.2VHDL的主要构件2.3数据类型和运算2.4行为和结构描述2.1概述1.组合电路传统的设计方法:逻辑命题→列真值表→化简逻辑函数→画逻辑电路图。2.传统的设计方法缺点(对于复杂数字系统的设计):a.很繁琐;b.不便于互相交流;c.难以了解设计的正确性;3.硬件描述语言HDL(HardwareDescriptionLanguage)a.便于利用计算机进行数字系统辅助设计。b.便于交流和存档。HDL是描述电子系统硬件行为、结构和数据的语言,是一种描述复杂数字电路的工具,是设计者与电子设计自动化(EDA)软件之间的界面。HDL的特点:c.不同

2、的HDL有很大的差异,便于交流和推广。VHDL是一种标准化的硬件描述语言,它支持系统级、寄存器级和门级三个不同层次的设计。在数字系统从顶到底(Top–to-Down)设计的全过程中,都可利用这同一种硬件描述语言进行设计、模拟和存档。4.VHDL(VHSICHardwareDescriptionLanguage)VHSIC——VeryHighSpeedIntegratedCircuit自从IEEE1987年和1993年公布了VHDL的标准版本之后,各EDA公司纷纷加入这一标准化的行列。VHDL的优点:a.覆盖面广,描述能力强,是一个多层次的硬件描述语言;b.可读性好;c.生命期长

3、,其硬件描述与工艺技术无关;d.支持大规模设计的分解和已有设计再利用;e.已成为IEEE承认的一个工业标准,成为一种通用的硬件描述语言。2.2VHDL的主要构件2.2.1实体实体VHDL设计电路的最基本部分,它描述一个设计单元的外部接口以及连接信号的类型和方向。当一个实体经过编译并被放入库中之后,它就成为其他设计可以采用的一种元件。1.实体的一般格式:ENTITY实体名IS[PORT(端口表);]实体说明部分;实体语句部分;]END[ENTITY]实体名;[BIGIN2.举例或门的实体ENTITYorgateISPORT(a,b:INBIT;z:OUTBIT);ENDorgat

4、e;注:a.ENTITY,IS,PORT,IN,OUT,END为关键字。b.VHDL本身不区分大小写。实体(entity)名称端口信息端口模式:信号取值类型:输入、输出、双向、缓冲…位、整数、实数、记录、数组…3.实体的格式2.2.2结构体电路描述部分称之为结构体(ARCHITECTURE),它用于描述设计单元内部的行为、元件及连接关系。1.结构体的一般格式ARCHITECTURE结构体名OF实体名IS[定义语句];(内部信号,常数,数据类型,函数定义等)BEGIN[并行处理语句];[进程语句];END结构体名;architecturebehaveoforgateisbegin

5、or_func:process(a,b)beginif(a=‘1’orb=‘1’)thenz=‘1’;elsez=‘0’;endif;endprocessor_func;endbehave;2.举例或门的结构体3.VHDL主要采取的描述方式a.行为描述:描述该设计单元的功能。主要使用函数、过程和进程语句,以算法形式描述数据的变换和传送。b.结构描述:描述该设计单元的硬件结构,即该电路是如何构成的。主要使用配置指定语句及元件例化语句描述元件的类型及互连关系。包含一个实体和一个或一个以上结构体的VHDL程序就是一段完整的VHDL程序。2.2.3程序包程序包(PACKAGE)是一种使

6、包体中的元件、子程序、公用数据类型和说明等对其它设计单元可调用的设计单元。程序包包括程序包说明和程序包体。PACKAGE程序包名IS[说明部分]END程序包名1.程序包说明的一般形式PACKAGEBODY程序包名IS[说明部分]END程序包名2.包体的一般形式3.程序包的调用包体中的子程序体和基本说明不能被其它VHDL单元使用,程序包中的说明是公共的,是可调用的。如果一个程序包中所定义的内容可以被调用,应在VHDL单元前加上use语句。例如,调用程序包ieee.std_logic_1164.all中的内容。libraryieee;useieee.std_logic_1164.a

7、ll;2.2.4库库(LIBRARY)是用来存放可编译的设计单元的地方,可以放置若干个程序包。VHDL语言库分为设计库和资源库。设计库对当前项目是可见、默认的,无需用LIBRARY语句声明。设计库包括WORK和STD库。WORK库相当于一个临时仓库,用于保存当前项目中设计成功、正在验证、未仿真的中间器件。一个项目对应一个WORK库。资源库是把常用的工具、元件和模块等设计资源集中打包,它存放常规元件和标准模块,供其它项目引用。2.3数据类型和运算1.VHDL硬件描述语言中的三类对象:信号、变量

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。