杭电计算机组成原理多功能ALU设计实验.doc

杭电计算机组成原理多功能ALU设计实验.doc

ID:57283972

大小:135.00 KB

页数:6页

时间:2020-08-09

杭电计算机组成原理多功能ALU设计实验.doc_第1页
杭电计算机组成原理多功能ALU设计实验.doc_第2页
杭电计算机组成原理多功能ALU设计实验.doc_第3页
杭电计算机组成原理多功能ALU设计实验.doc_第4页
杭电计算机组成原理多功能ALU设计实验.doc_第5页
资源描述:

《杭电计算机组成原理多功能ALU设计实验.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、杭州电子科技大学计算机学院实验报告课程名称:计算机组成原理实验项目:多功能ALU设计实验指导教师:实验位置:姓名:班级:学号:日期:2015年4月29日实验目的(1)学习多功能ALU的工作原理,掌握运算器的设计方法。(2)掌握运用VerilogHDL进行行为描述与建模的技巧和方法。实验环境XilinxISEDesignSuite13.4实验内容(算法、程序、步骤和方法)(1)创建工程,编写ALU模块代码。(2)编写对A、B数据进行选择的模块代码。(3)编写选择输出数据模块代码。(4)设置顶层文件,并将

2、三个模块代码导入。(5)设置输入信号,进行软件仿真并记录仿真波形。(6)生成BIT文件并下载入FPGA中,进行硬件测试。(7)记录测试结果。(接上)实验内容(算法、程序、步骤和方法)(1)ALU模块代码,利用三八译码器,将我们将要操作的对象,进行分别的处理。moduleALU_TOP(A,B,F,ZF,OF,ALU_OP);input[31:0]A,B;input[2:0]ALU_OP;outputZF,OF;output[31:0]F;reg[31:0]F;regZF,OF;reg[5:0]i;re

3、gC;reg[32:0]CF;always@(ALU_OPorAorB)begincase(ALU_OP)3'b000:beginCF=A&B;end3'b001:beginCF=A

4、B;end3'b010:beginCF=A^B;end3'b011:beginCF=A~^B;end3'b100:beginCF=A+B;end3'b101:beginCF=A-B;end3'b110:beginCF=(A

5、:0];C=CF[32];ZF=0;for(i=0;i<32;i=i+1)ZF=ZF

6、F[i];ZF=~ZF;OF=A[31]^B[31]^F[31]^C;endendmodule(1)输入数据选择模块代码,根据实验指导书上的对于32位数据的处理方式。moduleChoice(AB_SW,A,B);input[2:0]AB_SW;output[31:0]A,B;reg[31:0]A,B;wire[2:0]AB_SW;always@(*)begincase(AB_SW)3'b000:beginA=32'

7、h0000_0000;B=32'h0000_0000;end3'b001:beginA=32'h0000_0003;B=32'h0000_0607;end3'b010:beginA=32'h8000_0000;B=32'h8000_0000;end3'b011:beginA=32'h7FFF_FFFF;B=32'h7FFF_FFFF;end3'b100:beginA=32'hFFFF_FFFF;B=32'hFFFF_FFFF;end3'b101:beginA=32'h8000_0000;B=32'hF

8、FFF_FFFF;end3'b110:beginA=32'hFFFF_FFFF;B=32'h8000_0000;end3'b111:beginA=32'h1234_5678;B=32'h3333_2222;enddefault:beginA=32'h9ABC_DEF0;B=32'h1111_2222;endendcaseendendmodule(2)输出数据选择模块代码,根据实验指导书上的对于32位数据的处理方式。moduleCLED(F_LED_SW,LED,F,ZF,OF);input[31:0]

9、F;inputZF,OF;input[2:0]F_LED_SW;output[7:0]LED;reg[7:0]LED;wire[31:0]F;wire[2:0]F_LED_SW;wireZF,OF;always@(*)begincase(F_LED_SW)3'b000:LED=F[7:0];3'b001:LED=F[15:8];3'b010:LED=F[23:16];3'b011:LED=F[31:24];default:beginLED[7]=ZF;LED[0]=OF;LED[6:1]=6'b0;e

10、ndendcaseendendmodule(1)顶层设计模块代码:moduleALU(AB_SW,ALU_OP,F_LED_SW,LED);input[2:0]AB_SW,ALU_OP,F_LED_SW;output[7:0]LED;wire[2:0]AB_SW,ALU_OP,F_LED_SW;wire[31:0]A,B,F;wireZF,OF;wire[7:0]LED;ChoiceC1(AB_SW,A,B);ALU_TOPA1(A,B,F,ZF,OF,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。