EDA技术及应用 第六章 VHDL设计进阶课件.ppt

EDA技术及应用 第六章 VHDL设计进阶课件.ppt

ID:57057304

大小:201.00 KB

页数:27页

时间:2020-07-30

EDA技术及应用 第六章 VHDL设计进阶课件.ppt_第1页
EDA技术及应用 第六章 VHDL设计进阶课件.ppt_第2页
EDA技术及应用 第六章 VHDL设计进阶课件.ppt_第3页
EDA技术及应用 第六章 VHDL设计进阶课件.ppt_第4页
EDA技术及应用 第六章 VHDL设计进阶课件.ppt_第5页
资源描述:

《EDA技术及应用 第六章 VHDL设计进阶课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术主讲:牛军浩第六章VHDL设计进阶6.1数据对象6.2双向和三态电路信号6.3IF语句概述6.4进程语句归纳6.5并行语句例解教学目的在第4章的基础上,深入理解VHDL语言现象和语句规则的特点,以及应用VHDL表达与设计电路的方法。6.1数据对象在VHDL中的三类数据对象变量VARIABLE常量CONSTANT信号SIGNAL6.1数据对象在VHDL中的三类数据对象--常量主要是为了使程序更容易阅读和修改在程序中常量是个恒定不变的值在做了数据类型和赋值定义后,在程序中不能修改具有全局性意义常量数据类型必须与表达式的数据类型一致常量的使用范围取决于它被定义的位置表达式:CON

2、STANT常数名:数据类型:=表达式;举例1:CONSTANTYES:STD_LOGIC:=‘1’;举例2:CONSTANTDATA_NO:INTEGER:=15;6.1数据对象在VHDL中的三类数据对象--常量使用范围定义位置有效使用范围程序包用在调用此程序包的所有实体中设计实体这个实体定义的所有结构体中结构体中只能用于此结构体某单元中在只能在该单元中使用常数的可视性规则6.1数据对象在VHDL中的三类数据对象--变量变量是个局部量,只能在进程和子程序中使用变量的赋值是一种理想化的数据传输,立即发生,不存在延时主要作用是在进程中作为临时数据存储单元可定义初始值,但是综合器并不支持设

3、置初始值表达式:VARIABLE变量名:数据类型:=初始值;举例1:VARIABLEtemp:STD_LOGIC:=‘1’;举例2:VARIABLEtemp:INTEGERRANGE0TO15:=15;赋值举例:temp:=15;temp:=a+b;注意变量的赋值符号:=6.1数据对象在VHDL中的三类数据对象--信号信号的性质类似于连接线信号可作为并行模块间的信息交流通道作为一种数值容器,既可容纳当前值,也可保持历史值。初始值设置不必要信号具有更为明显的硬件特征可看作是实体内部的隐形的端口定义范围为实体、结构体和程序包表达式:SIGNAL信号名:数据类型;举例1:SIGNALs1:

4、STD_LOGIC;举例2:s1<=15;PORTMAP(a=>s1,);6.1数据对象在VHDL中的三类数据对象--信号与变量信号SIGNAL变量VARIABLE基本用法作为电路中信号连线作为进程中的局部存储使用范围在整个结构体内适用只能在所定义的进程中行为特性在进程的最后才对信号赋值立即赋值6.1数据对象在VHDL中的三类数据对象--信号与变量阅读: 例6-3和例6-4P131~P133阅读: 例6-6和例6-7P134~P135理解进程中语句执行顺序关系掌握信号和变量在执行时的区别掌握程序查错和程序分析6.1数据对象在VHDL中的三类数据对象--信号与变量信号SIGNAL变量V

5、ARIABLE定义SIGNALA,B:STD_LOGICVARIABLEA,B:STD_LOGIC使用IF(clk’rising_edge())A<=D1;B<=A;Q<=B;ENDIFENDPROCESS;IF(clk’rising_edge())A:=D1;B:=A;Q<=B;ENDIFENDPROCESS;6.1作业1.课本第三版P131,例6-1信号SIGNAL变量VARIABLE基本用法作为电路中信号连线作为进程中的局部存储使用范围在整个结构体内适用只能在所定义的进程中行为特性在进程的最后才对信号赋值立即赋值ACHITECTUREbhvOFDFF3ISBEGINPROCES

6、S(CLK)VARIABLEQQ:STD_LOGIC;BEGINIFCLK’EVENTANDCLK=‘1’THENQQ:=D1;ENDIF;ENDPROCESS;Q1<=QQ;END6.1作业2.课本第三版P131,例6-3信号SIGNAL变量VARIABLE基本用法作为电路中信号连线作为进程中的局部存储使用范围在整个结构体内适用只能在所定义的进程中行为特性在进程的最后才对信号赋值立即赋值PROCESS(CLK)BEGINIFCLK’EVENTANDCLK=‘1’THENA<=D1;B<=A;Q1<=B;ENDIF;ENDPROCESS;D1ABQ1D1ABQ1D1ABQ1D1ABQ

7、1D1AB6.1作业3.课本第三版P131,例6-4信号SIGNAL变量VARIABLE基本用法作为电路中信号连线作为进程中的局部存储使用范围在整个结构体内适用只能在所定义的进程中行为特性在进程的最后才对信号赋值立即赋值PROCESS(CLK)BEGINIFCLK’EVENTANDCLK=‘1’THENA:=D1;B:=A;Q1<=B;ENDIF;ENDPROCESS;D1ABQ1D1D1BQ1D1D1D1Q1D1D1D1Q1D1D1D1D16.1作业4.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。