EDA 第5章 VHDL设计进阶.ppt

EDA 第5章 VHDL设计进阶.ppt

ID:49264071

大小:3.08 MB

页数:284页

时间:2020-02-02

EDA 第5章 VHDL设计进阶.ppt_第1页
EDA 第5章 VHDL设计进阶.ppt_第2页
EDA 第5章 VHDL设计进阶.ppt_第3页
EDA 第5章 VHDL设计进阶.ppt_第4页
EDA 第5章 VHDL设计进阶.ppt_第5页
资源描述:

《EDA 第5章 VHDL设计进阶.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第5章VHDL设计进阶第5章VHDL设计进阶5.1VHDL语言要素5.2VHDL顺序语句5.3VHDL并行语句5.4子程序5.5库、程序包及其配置5.6VHDL描述风格5.7常用单元的设计举例5.8VHDL与原理图混合设计方式5.1VHDL语言要素5.1.1VHDL文字规则5.1.2VHDL数据对象5.1.3VHDL数据类型5.1.4VHDL操作符1.数字数字型文字可以有多种表达方式:可以是十进制数,也可以表示为二进制、八进制或十六进制等为基的数,可以是整数,也可以是含有小数点的浮点数。现举例如下。5.1.1VHDL文字规则(1)十进制整数表示法:如在相邻数

2、字之间插入下划线,对十进制数值不产生影响,仅仅是为了提高文字的可读性。允许在数字之前冠以若干个0,但不允许在数字之间存在空格。012578_456(=78456)2E6(2)实数:实数必须带有小数点。如12.00.03.146_741_113.66652.6E2(3)以基表示的数:用这种方式表示的数由五个部分组成。(a)用十进制数标明数制进位的基数;(b)数制隔离符号“#”;(c)表达的数,可以是整数,也可以是实数;(d)指数隔离符号“#”;(e)用字符E加十进制表示的指数部分,这一部分的数如果为0可以省去不写。对以基表示的数而言,相邻数字间插入下划线不影响

3、数值。基的最小数为2,最大数为16,以基表示的数中允许出现A至F的字母,大小写字母意义无区别。2#1111_1110#8#376#16#FE#(等于254)016#F.01#E+2(等于3841.00)(15+16-2)*162(4)物理量文字:VHDL综合器不接受此类文字。如60s(秒)100m(米)177A(安培)2.字符和字符串(1)字符是用单引号引起来的ASCⅡ字符,可以是数值,也可以是符号或字母,如‘E’,‘e’,‘$’,‘2’,‘A’…(2)字符串是一维的字符数组,需放在双引号中。有两种类型的字符串:文字字符串和数位字符串。·文字字符串是用双引号

4、引起来的一串文字。如“FALSE”,“X2”,“THISISEND”·数位字符串是被双引号引起来的数字序列,数字序列前冠以基数说明符。基数说明符有B、O、X,他们的含义如下。B:二进制基数符号,表示二进制位0或1,在字符串中每一个位表示一个BIT。O:八进制基数符号,在字符串中每一个数代表一个八进制数,即代表一个3位(BIT)的二进制数。X:十六进制基数符号,代表一个十六进制数,即代表一个4位二进制数。例如:B“1011_1111”位矢量长度为8O“152”位矢量长度为9X“F821”位矢量长度为163.标识符标识符是最常用的操作符,可以是常数、变量、信号、

5、端口、子程序或参数的名字。VHDL标识符需遵守以下规则:(1)必须以英文字母开头,长度不能超过32个字符;(2)英文字母、数字(0~9)和下划线都是有效的字符;(3)标识符不区分大小写;(4)必须是单一下划线“_”,且其前后都必须有英文字母或数字。(5)允许包含图形符号(如回车键、换行符等),也允许包含空格符。(6)VHDL的关键字不能用作标识符。例:一些合法的标识符:S_MACHINE,present_state,sig3不合法的标识符:present-state,3states,cons_,_nowVHDL的关键字:afterallandarchitec

6、turearrayassertthenbeginblockbodybufferbuscasesubtypeunitscomponentconfigurationconstantdowntouseuntilelseelsifendentitygenericifintotypeinoutisLibraryloopmapnandvariablewhennextnororothersoutpackageslasllwhileportprocedureprocessrangerecordwaitwithregisterreportreturnselectseverit

7、ysignalxorxnor4.下标名及下标段名下标名用于指示数组型变量或信号的某一元素。格式为:标识符(表达式)下标段名用于指示数组型变量或信号的某一段元素。格式为:标识符(表达式1to(downto)表达式2)例:a(7),a(6)…a(0)例:a(7downto0),a(7downto4),a(3to5)…注意:(1)表达式所代表的值必须是数组下标范围中的一个值;(2)如果表达式是一个可计算的值,则此操作数可很容易进行综合.如果是不可计算的,则只能在特定的情况下综合,且耗费资源较大.5.1.2VHDL数据对象VHDL语言中,数据对象主要包括以下三种:变

8、量(VARIABLE)、常量(CONSTANT)、信

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。