基于fpga的双口ram实现及应用

基于fpga的双口ram实现及应用

ID:5545675

大小:248.00 KB

页数:4页

时间:2017-12-18

基于fpga的双口ram实现及应用_第1页
基于fpga的双口ram实现及应用_第2页
基于fpga的双口ram实现及应用_第3页
基于fpga的双口ram实现及应用_第4页
资源描述:

《基于fpga的双口ram实现及应用》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于FPGA的双口RAM实现及应用 秦鸿刚刘京科吴迪时间:2010年04月15日        字体:大中小关键词:FPGARAMCY7C006ACypress  随着电子技术的飞速发展,大量的高速数据采集和在线测试对现代工业测控系统和仪器仪表的功能和性能提更高要求。CPU并行工作(双单片机系统)方式得到广泛应用。为了使2个单片机能够快速有效交换信息,充分利用系统资源,采用双口RAM实现存储器共享是目前较为流行的方法。  大容量、高速FPGA器件具有集成度高、体积小、灵活可重配置、实验风险小等优点,在复

2、杂数字系统中得到越来越广泛的应用。数字电路设计采用l片FPGA器件、存储设备和一些电气接口匹配电路的解决方案已成为主流选择方案。用FPGA来实现双口RAM的功能可以很好地解决并行性和速度问题,而且其灵活的可配置特性使得基于FPGA的双口RAM易于进行修改、测试及系统升级,可降低设计成本,缩短开发周期。  1双口RAM简介  双口RAM是在1个SRAM存储器上具有两套完全独立的数据线、地址线和读写控制线,并允许两个独立的系统同时对其进行随机性访问的存储器,即共享式多端口存储器。双口RAM最大的特点是存储数

3、据共享。1个存储器配备两套独立的地址、数据和控制线,允许两个独立的CPU或控制器同时异步地访问存储单元。因为数据共享。则必须具有访问仲裁控制。内部仲裁逻辑控制提供以下功能:对同一地址单元访问的时序控制;存储单元数据块的访问权限分配:信令交换逻辑(例如中断信号)等。双口RAM可用于提高RAM的吞吐率,适用于实时数据缓存。  CY7C006A是Cypress公司生产的16kb×8高速双口静态RAM,存取速度小于20ns。该器件具有真正的双端口,可以同时进行数据存取,两个端口具有独立的控制信号线、地址线和数据

4、线,另外通过主/从选择可以方便地扩存储容量和数据宽度。通过器件的信号量标志器,左、右两端口可以实现器件资源共享。  2双口RAM在FPGA中的实现  本设计采用自顶而下的设计思想。选用Xilinx公司的Spartan-6FPGA器件,基于低功耗45nm、9-金属铜层、双栅极氧化层工艺技术,提供高级功耗管理技术,150000个逻辑单元,集成式PCIExpress模块,高级存储器支持。250MHzDSPslice和3.125Gb/s低功耗收发器。通过VerilogHDL语言对双口RAM功能的描述就能在一片F

5、PGA器件内实现8位16字节的双口RAM,并进行读写操作控制。双口RAM读写操作控制VerilogHDL代码如下:   图l是双口RAM的VerilogHDL代码在XilinxISE中综合后的寄存器传输级电路图。   功能仿真的是Xilinx公司已经建立自己的编译库的ModelsimXEⅢ6.2c软件,仿真结果如图2所示,满足设计要求。   经XilinxISE软件综合实现设计,生成可下载的比特流,将其下载到FPGA中,实现双口RAM的功能。FPGA器件内部具有丰富的资源,可以在实现双口RAM基本功能的

6、基础上。满足系统设计的其他需求,且灵活可配置。  3基于FPGA的双口RAM应用  双口RAM在数字系统中应用广泛。高速数据采集系统中,一般的数据传输系统在大数据量情况下会造成数据堵塞现象。在一些实时控制场合,实时算法经常需要由几个DSP串行或并行工作以提高系统的运行速度和实时性。以双口RAM构成的数据接口可以在两个处理器之间进行高速可靠的信息传输。此外,双口RAM可以应用在智能总线适配卡、网络适配卡中作为高速数据传输的接口。在许多宽带信号处理领域(如无线通信、多媒体系统、卫星通信、雷达系统等),FPG

7、A技术已代替DSP实现许多前端的数字信号处理算法。用FPGA来实现数字信号处理能很好地解决并行性和速度问题,而且其灵活的可配置特性,使得FPGA构成的数字信号处理系统易于修改、测试及系统升级,降低设计成本,缩短开发周期。任何一种自动控制系统都离不开数据采集系统,数据采集系统的质量直接影响整体系统的工作性能。数据采集系统高速、实时发展趋势,对数据的传输和控制速度提出较高要求。而采用双口RAM可有效提高速度,解决速度匹配问题。  设计l块数据采集系统板,用FPGA实现双口RAM功能,并且控制A/D采样与转换

8、,以及数据写入双口RAM。利用单片机控制双口RAM的存取,构成一个独立的数据采集系统,并可以通过串行接口把数据发送给PC机。图3为其系统结构框图。   首先,时钟产生启动信号,FPGA向采样开关发出选通信号,选定模拟开关采样,第0路模拟量进入,经A/D转换后变为8位数字量并存储于双口RAM中,ADC0809反馈给FPGA内控制电路并告知转换完毕,FPGA内控制电路再选通第1路模拟量进入,重复上述过程。经过0.1ms后,时钟又产生一个脉冲启动

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。