利用fpga实现双口ram的设计及应用

利用fpga实现双口ram的设计及应用

ID:27657406

大小:196.21 KB

页数:4页

时间:2018-12-05

利用fpga实现双口ram的设计及应用_第1页
利用fpga实现双口ram的设计及应用_第2页
利用fpga实现双口ram的设计及应用_第3页
利用fpga实现双口ram的设计及应用_第4页
资源描述:

《利用fpga实现双口ram的设计及应用》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、利用FPGA实现双口RAM的设计及应用2010年04月16日14:08维库开发网作者:佚名用户评论(0)关键字:FPGA(764)RAM(31)利用FPGA实现双口RAM的设计及应用概述:为丫在高速采集时不丢失数据,在数据采集系统和CPU之间设置一个数据暂存区。介绍双门RAM的存储原理及其在数字系统中的应川。采川FPGA技术构造双门RAM,实现髙速信兮采集系统中的海员数据存储和吋钟匹配。功能仿真验证该设计的正确性,该设计能减小电路设计的复杂性,增强设计的灵活性和资源的可配置性能.降低设计成木,缩短开发周期。随着电子技术的6速发展,大呈的高速数据采

2、粜和在线测试对现代工业测控系统和仪器仪表的功能和性能提史高要求。CPU并行工作(双单片机系统)方式得到广泛应用。为了使2个单片机能够快速冇效交换信息,充分利用系统资源,采用双LJRAM实现存储器共卒是H前较为流行的方法。大容呈、高速FPGA器件具冇集成度萵、体积小、灵活可重配置、实验风险小等优点,在釔杂数字系统中得到越來越广泛的应用。数字电路设计采用1片FPGA器件、存储设备和一些电气接门四配电路的解决力'案己成为.卞流选择方案。用FPGA来实现双口RAM的功能可以很好地解决并行性和速度问题,而且其灵活的可配.??.特性使得基TEPGA的双门RA

3、M易于进行修改、测试及系统升级,可降低设计成木,缩短开发周期。1双口RAM简介双口RAM是在1个SRAM存储器上具有两套完全独立的数据线、地址线和读写控制线,并允许两个独立的系统同吋对其进行随机性访问的存储器,即共享式多端U存储器。双URAM最人的特点是存储数据共皁。1个存储器配备两套独立的地址、数据和控制线,允许两个独立的CPU或控制器同时异步地访问存储单元。因为数据共卒。则必须具冇访问仲裁控制。内部仲裁逻辑控制提供以K功能:对同一地址单元访问的时序控制;存储单元数据块的访问权限分配:信令交换逻辑(例如中断信号)等。双门RAM可川于提髙RAM的

4、吞吐率,适用于实时数据缓存。CY7C006A足Cypress公司生产的16kbX8髙速双口静态RAM,存取速度小子20ns。该器件具有真正的双端口,可以M时进行数据存取,两个端口具有独立的控制信号线、地址线和数据线,另外通过主/从选择可以7/便地扩存储容觉和数据宽度。通过器件的信兮带标志器,左、右两端门可以实现器件资源共亨。2双口RAM在FPGA中的实现本设计采用自顶而下的设计思想。选用Xilinx公司的Spartan-6FPGA器件,基于低功耗45nm、9-金屈铜层、双栅极氧化层工艺技术,提供髙级功耗管理技术,150000个逻辑单元,级成式PC

5、TExpress模块,高级存储器支持。250MHzDSPslice和3.125Gb/s低功耗收发器。通过VerilogHDL语言对双nRAM功能的描述就能在一片EPGA器件内实现8位16字节的双门RAM,并进行读写操作控制。双门KAM读写操作控制VcrilogHDL代码如下:wdefineDELI(liTincRAMWIDTH8kfineKAM」)Elym16defineADDILSZ4moduleDuul(cik.datain.nladdress«reu(Ldatawrite);inputelk;input

6、'KAM_WII)TH-1:O

7、i

8、nput

9、、AI)I)K_SZ-I:O1nl_Hd(lnfcss;inputread:input

10、ADI)I

11、wradilress;inputwrih,;oiilpul

12、HAM^WII)TII-I:O

13、dala_out:wireelk;wirerRAMJJKDTH-1:01dala^in;win、rADDR.SZ-l:O

14、nLaddresfijwin*rcu

15、wr」iddrrj«;wirewrite:regpRAM^WIinH-hO]

16、

17、memulwuys砂elk)Ix'ginif(write)

18、RAM.DEPrH-l:O];nktii[wr_a

19、<=#w0EI.dahi一in;if(read)endendmoduleDELmemJnl_a(!(In*ss

20、;WeeQacift库图1是双口RAM的VerilogHDL代码在XilinxTSE中综合后的寄存器传输级电路图。阁I货存器传输级电路Wee<5⑽维库功能仿真的是Xilinx公司己经建立自己的编译库的ModelsimXEffl6.2c软件,仿真结果如图2所示,满足设计耍求。CK.CCUMI3101-rA'4A'

21、■■wniiiniaiiiiiBIMc*Ujn(7q■9

22、r»30ffl2ttriKAM功能仿良结果经XilinxISE软件综合实现设计

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。